文档库 最新最全的文档下载
当前位置:文档库 › 基于FPGA的数字高通滤波器设计

基于FPGA的数字高通滤波器设计

基于FPGA的数字高通滤波器设计
基于FPGA的数字高通滤波器设计

摘要

数字滤波器是现代数字信号处理系统中的重要组成部分,它具有模拟滤波器所无法替代的新特性,所以在通信、语音与图像处理、自动控制等领域有广泛的应用,它在降低噪声、提高信噪比及信号的频谱纯度等方面有着重要的意义。数字滤波器根据单位脉冲响应的不同,可分为FIR(有限长脉冲响应)滤波器和IIR(无限长脉冲响应)滤波器,FIR的优点在于具有良好的相位特性,IIR的优点在于具有良好的幅频特性,可以根据不同的系统性能要求选择不同的滤波器。目前滤波器的主要实现方法有三种,分别是:单片通用数字滤波器集成电路、采用DSP器件和FPGA(现场可编程门阵列)器件。

本文采用FPGA器件来实现滤波器的设计,在实现方法上先用MATLAB/Simulink 工具箱建立滤波器模型,然后用SignalCompiler把Simulink的模型文件(后缀是.mdl)转化为硬件描述语言VHDL文件,最后利用QuartusII完成滤波器的仿真、配置、编译和下载。本文最后用实例介绍了FIR数字滤波器的实现过程。

关键词:数字滤波器通信集成电路DSP FPGA

ABSTRACT

The digital filter is in modern digital signal processing systems , one important part of it can not be replaced with analog filters new features , so in communications, voice and image processing, automatic control and other fields have a wide range of applications , it is reduce noise, improve spectral purity , and signal to noise ratio and other aspects of great significance . The digital filter according to the unit impulse response can be divided into FIR (finite impulse response ) filters and IIR ( infinite impulse response ) filter , the advantage is that FIR has good phase characteristic has the advantage that a good IIR amplitude-frequency characteristics , you can select different filters depending on the system performance requirements . At present, there are three types of filter implementation , namely: a monolithic integrated universal digital filters using DSP device and FPGA (field programmable gate array ) devices.

In this paper FPGA devices to implement filter design , first create a filter model with MATLAB / Simulink toolbox on the implementation and use SignalCompiler the Simulink model files ( suffix . Mdl) into a hardware description language VHDL files, last QuartusII completed filter simulation use , configure , compile and download. Finally, this paper describes the implementation process with examples FIR digital filter .

Keywords:digital filter communication integrated circuit DSP FPGA

湖南工业大学本科毕业设计(论文)

目录

第1章绪论 (1)

1.1 研究背景 (1)

1.2 研究现状 (1)

1.3 本课题研究内容方法 (3)

第2章数字滤波器简介 (4)

2.1 数字滤波器概述 (4)

2.1.1 数字滤波器的定义 (4)

2.1.2 数字滤波器的分类 (4)

2.1.3 数字滤波器的设计要求和方法 (5)

2.2 FIR数字滤波器原理 (5)

第3章数字滤波器的总体设计方案 (7)

3.1 FIR和IIR设计方法概述 (7)

3.2 滤波器设计方法比较 (7)

3.3 基于FPGA的DSP设计流程 (9)

第4章基于DSP Builder的滤波器设计与仿真 (11)

4.1设计软件简介 (11)

4.1.1 DSP Builder的简介 (11)

4.1.2 MATLAB的简介 (11)

4.1.3 Quartus的简介 (11)

4.2 16阶FIR滤波器设计 (13)

4.2.1 4阶滤波器模型的建立 (13)

4.2.2 在Simulink仿真并生产VHDL代码 (15)

4.2.3 16阶FIR滤波器模型的建立 (16)

4.2.4用MATLAB的滤波器设计工具计算FIR滤波器的系数 (18)

4.2.5 IDE软件工具生成VHDL文件并用Synplify进行综合 (22)

4.2.6 Quartus II编译 (24)

结论 (25)

致谢 (26)

参考文献 (27)

附录 (28)

附录1 软件相关程序 (28)

湖南工业大学本科毕业设计(论文)

第1章绪论

1.1 研究背景

当今,飞速发展的数字信号处理技术,已经自成一门学科,并且以不同形式影响和渗透到其它学科当中;与经济有者紧密的联系,与国防建设也紧密相连;影响并改变着我们的生产和生活方式,所以受到了广泛的关注。

数字化,智能化和网络化是当今信息技术发展的三大趋势,而数字是智能化和网络化的基础上,预示着我们的现实生活中的许多信号,如无线电信号,电视信号,雷达信号,通信信号,射电天文学的信号多种多样,基本的生物医学信号,控制信号,天气信号,地震信号,机械振动信号,依此类推。大多数这些信号是模拟信号,也可将数字信号的一小部分。模拟信号参数的一个连续函数,该参数可以是一维的,二维的,或者可以是多维的。在大多数情况下,由于模拟信号是下一维变量的时间离散化(采样),而这样的离散(量化)的幅度的模拟信号变为一维数字信号。因此,数字信号实际上是由一个数字序列,它是一种数字音频信号进行采样和量化的信号,以获得一维离散时间序列;而数字图像信号进行采样,并获得量化的信号是一个两维离散空间序列。数字信号处理方法,是从数字值的处理顺序不同,信号被转换成一种形式,它是合适的。例如,数字信号被滤波以限制噪声和干扰的数目后,他或过滤,或其他信号中分离;信号或功率谱分析发现该数据信号的频谱的频谱标识信号的组合物;变换的信号,使得它更适合于传输,存储和应用程序;编码的信号,以实现数据压缩的目的。

数字滤波技术是数字信号分析与处理技术的一个重要分支[1]。同时采集,传输,或信号处理和交换信号是分不开的滤波技术,是高效,灵活和可靠的信号传输是必不可少的。在所有的电子系统中,使用最多技术最复杂的要算数字滤波器了。数字滤波器的优劣直接决定产品的优劣。

1.2 研究现状

在信号处理的过程中,我们所处理的信号往往与噪声混合,信号传输和处理中一个十分重要的问题就是消除或者减弱从接收到的信号中的噪音。所谓的滤波就是从有用信号和噪声中通过它们的不同特性来提取有用信号的过程,而滤波器就是能实现滤波功能的系统。数字滤波器广泛的应用于现代通信设备和各类控制系统中,在这里则列举了部分应用最成功的领域。

1、语音处理

语音处理是最早应用数字滤波器的领域之一,也是最早推动数字信号处理理

基于FPGA数字高通滤波器设计

论发展的领域之一。它主要包括5个方面的内容:第一,语音信号的分析。就是对语音信号的波形特征、统计特性、模型参数等进行分析和计算;第二,语音合成。利用专用数字硬件或在通用计算机上运行软件来产生语音;第三,语音识别。即用专用硬件或计算机来识别人讲的话,或者识别说话的人;第四,语音增强。即从噪音或干扰中提取被掩盖的语音信号。第五,语音编码。主要用于语音数据压缩,目前已经建立了一系列语音编码的国际标准,大量用于通信和音频处理。近年来,这5方面都取得了不少研究成果,并且,在市场上已经出现了一些相关的软件和硬件产品,例如,盲人阅读机、哑人语音合成器、口授打印机、语音应答机,各种会说话的仪器和玩具,以及通信和视频产品大量使用的音频压缩编码技术。

2、图像处理

数字滤波技术成功地适用于恢复了静止图像和运动图像和增强,数据压缩,噪声和干扰,图像识别断层X射线摄影,并进一步在雷达,声纳,超声波和红外线信号的可见图像成像成功使用。

3、通信

数字滤波技术在现代技术在通信领域中的影响之大,以至于几乎没有一个分支不会受到它的影响。信源编码,信道编码,调制,复用,数据压缩和自适应信道均衡,数字滤波器被广泛使用,特别是在数字通信,网络通信,图像通信,多媒体通信应用,如叶的数字滤波器,几乎无法移动。这被认为是软件无线电技术通信技术的未来发展方向,更是以数字滤波技术为基础。

4、电视

数字电视取代模拟电视是一个必然的趋势。高清晰度电视指日可待的普及,与之配套的视频光盘技术已成为行业具有巨大的市场;可视电话和视频会议产品的更新换代。视频压缩和音频压缩技术成果和标准化工作,促进了蓬勃发展的电视行业,而数字滤波器及其相关技术是视频压缩和音频压缩技术的重要基础。

5、雷达

雷达信号占据很宽的频带,数据传输速率是非常高的,从而降低了压缩的数据量和数据传输速率是所面临的雷达信号的数字处理的一个问题。在现代雷达系统,数字信号处理部分是必不可少的,因为从信号生成,筛选,治疗的目标参数估计和目标成像是分不开的数字滤波技术。数字滤波器是现代雷达信号非常活跃的研究领域之一。

6、其他领域[2]

数字滤波器的应用领域如此广泛,以至于想完全列举他们是一个根本不能完成的事情,除了以上几个方面,还有很多其他的应用。例如,在军事上被广泛应用于导航,制导,电子对抗,战场侦察;在配电系统中使用的能源规划和自动检测;在环保应用到自动监测空气污染和噪音干扰;在经济领域被应用到股市预测和经

湖南工业大学本科毕业设计(论文)

济分析。

1.3 本课题研究内容方法

数字滤波器的实现,大体上有如下几种方法:

1、单片通用数字滤波器集成电路

使用简单便捷是单片通用数字滤波器的最大优点,但是如果它使用多字长和阶数规格不够多,在实际应用中有很大局限性。单片扩展的方式则能使其应用范围变广,但是这会使滤波器的体积和功耗增加,导致另一种局限性。

2、专用的DSP器件

DSP芯片较单片机则有着更为突出的优点,如它的内部带有乘法器、累加器,它的工作方式为流水线及并行结构,多总线,速度快,配有适于信号处理的指令等。但是,由于它是采用程序顺序执行,所以受限于一些要求高的实时性场合中的应用。

3、FPGA(现场可编程门阵列)器件

FPGA器件与采用DSP器件相对应,用可编程逻辑器件实现数字滤波器,它主要适用于一些要求比较高的实时性场合,在可编程逻辑器件容量不断增大、速度不断提高的情况下,使单片系统集成成为了可能[3]。

本论文介绍的数字滤波器则是采用FPGA器件来实现。先是用MATLAB/Simulink工具箱建立滤波器模型,然后用SignalCompiler把Simulink 的模型文件(后缀是.mdl)转化为硬件描述语言VHDL文件,最后利用QuartusII 软件完成滤波器的仿真、配置、编译和下载。

基于FPGA数字高通滤波器设计

第2章数字滤波器简介

2.1 数字滤波器概述

一个信号选择系统可以被理解为滤波器。它的主要功能是实现某些信号成分的通过并且阻止或衰减其它的成分。它通常被窄意的理解为选频系统,如带通、带阻、低通、高通。在频域和时域均衡器也是一个滤波器,传输介质,如通信系统开放电线,电缆或类似物的特性也是滤波器。滤波器系统可以分成三类:模拟滤波器,采样和数字滤波器。模拟滤波器(AF)可以由RLC无源滤波器也可以用运算放大器有源滤波器,它是一种连续时间系统相结合来构成。从电阻,电容,电荷转移器件,放大器等采样滤波器(SF),属于离散时间系统中,振幅是连续的。开关电容滤波器,电荷耦合器是一种过滤器。数字滤波器( DF)是由一个加法器,乘法器,一个延迟存储单元,时钟滤波电路和其他数字逻辑单元构成。其具有精度高,稳定性好,不存在阻抗匹配问题,时分复用,能够完成一些模拟滤波器的滤波任务就可以完成。其缺点是采样的需要,量化,编码,和一个手时钟频率限制,可处理的信号的最高频率还不够高。此外,由于有限字长效应将导致频域设计偏差值,量化和操作噪音和极限环振荡。

2.1.1 数字滤波器的定义

输入和输出信号是数字信号,并改变由一些输入信号的动作之间的关系中包含的频率成分,或该设备的某些成分的相对比例就是数字滤波器。因此,数字滤波和模拟滤波相同的概念,但是该信号并实现不同的滤波方法的形式。因为有这种差异,未达到特殊的滤波模拟滤波器无法实现等需要具有高的精度比模拟滤波器,稳定,体积小,重量轻,柔性,和阻抗匹配的数字滤波器。如果对模拟信号进行处理,通过A / DC和D / AC,在匹配信号变换的形式,数字滤波器也可用于过滤模拟信号。

数字滤波器的实现有两种:一是使用通用计算机的,过滤器操作完成编制计算机程序来执行,它是利用计算机软件来实现;第二,在硬件实现中,使用加法器,乘法器常数和延迟组装成专门的设备,当然,这种方法通常是可以达到的,但需要在计算机上进行编程。

2.1.2 数字滤波器的分类

通过不同的分类方法来对数字滤波器[4]进行分类,则可以分出不同的种类,

湖南工业大学本科毕业设计(论文)

但总的来说是分成两类。一类称为经典滤波器,该滤波器的特征通常由输入信号的频率成分有用且要过滤掉所有的频率分量占据不同的频带,通过适当的过滤器来实现的频率选择性滤波的目的。例如,该输入信号包括噪声,如果信号频带重叠并干扰不能有效地完成筛选出的干扰,这需要使用另一个类的被称为现代滤波器,如维纳滤波器,卡尔曼滤波器自适应滤波器最优滤波器。这些过滤器可以根据随机信号的内部,从干扰的最佳提取信号的一些统计分布可以。

从功能分类,滤波器可分为高通,低通,带阻和带通。理想滤波器是不可能实现的,因为他们都是非因果脉冲响应是无限长的,我们只能按照设计用于过滤一定的标准,从而有可能逼近,这些过滤器可以作为一个理想的近似标准使用。还请注意,数字滤波器的传递函数H(EJW)是周期性的在2π,低通带滤波器在2π的整数倍,而高频带在π的奇数倍,即,模拟的附近过滤器是有区别的。从单位脉冲响应或从现实的网络结构分类,数字滤波器可以分成无限脉冲响应(IIR)滤波器和有限脉冲响应(FIR)滤波器。他们的系统函数分别为:

式2.1中的H(z)称为N阶IIR滤波器函数,(N-1)阶FIR滤波器函数为式2.2中的H(z)。

2.1.3 数字滤波器的设计要求和方法

滤波器的指标[5]常常在频域给出。数字滤波器的频响特性函数H(e jw)一般为复函数,所以通常表示为:

(2.3)

在式2.3中,|H(e jw)|称为幅频特性函数:θ(w)称为相频特性函数,幅频特性表示信号通过该滤波器后各频率成分的衰减情况,而相频特性反映各频率通滤波器后在时间上的延时情况。一般对IIR数字滤波器,通常只用幅频响应函数|H(e jw)|来描述设计指标,相频特性一般不作要求。而对于线性相位特性的滤波器,一般用FIR数字滤波器设计实现。

2.2 FIR数字滤波器原理

FIR数字滤波器[6]在数字信号处理的应用中扮演者举足轻重的角色,FIR数字滤波器可以提供理想的线性相位响应,获得了恒定的群延迟,在整个频带中,得

基于FPGA数字高通滤波器设计

到的输出信号的零失真,它是通过使用一些简单的算法。这些优势使得FIR数字滤波器已成为设计工程师的首选。采用VHDL硬件描述语言或用VerilogHDL设计数字滤波器。自写程序往往不能达到很好的优化,使得在性能一般,但良好的IPcore Altera公司需要收费的。因此,使用DSP Builder的FPGA设计方法,FIR 滤波器设计的基础上更加简单,同时也能满足设计要求。

1、FIR滤波器的原理

FIR滤波器系统是有限长的冲激响应,具体的FIR滤波器可用下列式子表示:

(2.6)

在上述公式式2.6中:x(n-r)表示的是延时,r则代表的是FIR滤波器的抽头数;b(r)为第r级抽头数(即单位脉冲响应);M是滤波器阶数;滤波器的输出序列由y(n)表示。滤波器就是为了找到一个可实现的系统函数H(z),使其频率响应H(ejω)满足条件的频域信号,用卷积的形式表示如下式2.7:

y(n)=z(n)*h(n) (2.7)

2、FIR滤波器的参数选取

使用Matlab软件中的滤波器专用设计工具FDAtool仿真设计的数字滤波器,可以满足要求的FIR滤波器幅频特性,因为浮点小数在FPGA中实现得比较困难,并且成本太高,因而需要将滤波器的系数和输人数据转化为整数,量化后的系数可以在软件中直接进行转换,将输入的数据,乘以28的增益用Altbus来控制位宽实现数据转换成整数输入。

湖南工业大学本科毕业设计(论文)

第3章数字滤波器的总体设计方案

3.1 FIR和IIR设计方法概述

IIR数字滤波器设计方法[7]有脉冲响应不变法和双线性变换法等;FIR数字滤波器设计方法有窗函数法、频率采样法、切比雪夫逼近法等。

FIR滤波器和IIR滤波器的设计方法各有千秋。IIR滤波器的设计方法可以有两种类型的设计方法,我们经常使用的设计方法是通过开展模拟滤波器设计方法的手段。它的机身设计的步骤是:首先,模拟滤波器的设计一个方法来获得传递函数Ha(s) ,然后将Ha(s)转换数字滤波器系统函数H(z) 。这个类的方法是比较容易一些,这是因为模拟滤波器的设计方法已经非常成熟,它不仅有完整的设计公式,而且还拥有完善的图表以供查阅;此外,还有一些提供给我们一些典型数字滤波器的类型。另一种是直接在时域到频域或设计,由于联立方程组的解,设计者需要使用计算机辅助设计做出。FIR数字滤波器不能用于转换模拟滤波器设计方法是经常使用窗函数法和频率采样法。还有种方法更有效,如波纹切比雪夫逼近法,你需要通过计算机辅助设计完成。

对于线性相位滤波器来说,通常采用FIR数字滤波器,其单位脉冲响应该满足一定的条件,可以证明其相位特性在整个频带中是有严格线性的,这是模拟滤波器所不能达到的。当然,我们也可以采用IIR滤波器,但必须使用全通网络对其非线性相位特性进行相位校正,这样增加了我们设计与现实的复杂性。

3.2 滤波器设计方法比较

目前数字滤波器的实现方法大致有三种:利用单片通用集成电路、DSP器件和可编程逻辑器件实现。

1、单片通用集成电路

目前针对DSP算法的集成电路器件主要有ASSP和ASIC,它们都是半定制集成电路,所以在性能指标、工作速度和可靠性上具有不可比拟的优势。但是这种芯片的开发周期长、开发成本高,特别是在功能重构以及应用性修正上缺乏灵活性,所以正在逐渐失去其实用性。

2、专用的DSP器件

在过去的持续很长一段时间里,DSP应用系统的核心器件惟一选择就是DSP 处理器(如TI的TMS320系列)。虽然DSP处理器在硬件结构上不断的改进,但是并没有摆脱传统CPU的工作模式。因此,尽管它拥有多个硬件乘加器,使用了

基于FPGA 数字高通滤波器设计

环形叠代的方法进行乘法操作,且许多DSP 处理器还拥有使用多乘法器的并行指令,用于加速算术运算,然而由于其顺序的工作方式、较低的数据处理速率,以及缺乏实时工作的性能,使得其至今仍只适用于低端的数字信号处理。面对迅速变化的DSP 应用市场,特别是在面对现代通信技术的发展,DSP 处理器早已经显得力不从心。如其硬件结构的不可变性导致了它的总线的不可改变性,固定的数据总线宽度,已成为DSP 处理器难以通过一个的瓶颈。这个固定的DSP 处理器的硬件结构,没有特别适合于许多现有的应用程序所需的结构特性可在任何时候被改变,即所谓的面向用户的类型的DSP 系统或可重构DSP 应用(定制DSP 或可重构DSP 等类型),如软件定义无线电,医疗设备,导航,工业控制等各个方面。至于速度,以满足要求,采用顺序执行的CPU 架构,更是不堪重负的DSP 处理器。

3、FPGA (现场可编程门阵列)器件

FPGA 采用了逻辑单元阵列LCA (Logic Cell Array )的概念,内部包括可配置逻辑模块CLB (Configurable Logic Block )、输出输入模块IOB (Input Output Block )和内部线(Interconnect )三个部分。用户可以对FPGA 内部的逻辑模块和I/O 模块进行重新配置,来实现用户的逻辑。它还具有静态可重复编程和动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改。

3.1

图3.1 DSP 处理器顺序工作方式与FPGA 的并行工作方式

在并行工作,FPGA 和ASIC / ASSP 性能相当,但比DSP 处理器好得多。 DSP 处理器需要大量的计算为在指令方面所做的工作,FPGA 就可以在一个时钟周期来完成。在顺序执行方面,FPGA 比DSP 处理器,FPGA 的速度更快,因为不同的状态机可以使用,或嵌入式微处理器来完成的工作,并为每个时钟周期的工作顺序是并行执行多个同时执行,DSP 处理器,其未完成。在灵活性方面,FPGA 的灵活性远远高于ASIC / ASSP ,也比DSP 处理器更好。

综上所述,虽然单片通用集成电路使用方便,但还是弥补不了它因为字长和阶数的规格较少,不能满足实际的需求。而DSP 器件的使用实现虽简单,但是由于程序顺序执行,程序的执行速度必定会受到影响。而FPGA 规整的内部逻辑阵列和丰富的连线资源的特点,特别适合于数字信号处理任务,相对于以串行运算为乘加操作乘加操作乘加操作

乘加操作FPGA 器件(并行工作方式)1个时钟并行操作乘加操作乘加操作乘加操作

乘加操作乘加操作乘加操作乘加操作

乘加操作乘加操作乘加操作乘加操作乘加操作乘加操作乘加操作

乘加操作乘加操作

湖南工业大学本科毕业设计(论文)

主导的通用DSP芯片来说,其并行性和可扩展性更好。从长期发展来看,FPGA主要被用于系统逻辑或时序控制上,很少在信号处理方面得到应用,其主要原因是因为在FPGA中缺乏实现乘法运算的有效结构。而现在这个问题得到了解决,所以使得FPGA在数字信号处理方面有了长足的发展。

3.3 基于FPGA的DSP设计流程

本次设计采用系统级的开发方法,开发流程如图3.2所示。

图3.2 DSP Builder设计流程图

根据客户的不同设计需求和设计目的,DSP Builder对外提供了两种不同的设计流程[8],分别为自动流程和手动流程。

在手动流程中,设计者可以灵活地指定综合、适配条件。不过,需要手动的调用VHDL综合器进行综合,调用Quartus II进行适配,调用ModelSim或者Quartus II进行仿真,最后用Quartus II产生相应的编程文件用于FPGA的配置。

当使用手动流程中,除了行为仿真和设计输入,其它过程与标准的基于VHDL 的EDA设计流程是完全一致的。从上一步中的DSP Builder设计流程的VHDL文件(从Simulink模型文件。MDL通过SignalCompiler转换而来),融入了合成获得的。合成器可以SynplifyPro ,也可以是LelnardoSpectrum ,或使用自己的Altera公司的Quartus 。在合并,您可能需要配置或合成器提供全面的约束。因为这种操作可能会更复杂,因此相应的DSP Builder中的SignalCompiler提供了设计一个接口,自动生成的TCL脚本用的Synplify合成或LelnardoSpectrum相。合成后的一体化经营将产生一个网表文件,以用于下道工序。在这里,产生所谓

基于FPGA数字高通滤波器设计

的ATO M网表文件(图3.2 ),主要EDIF网表文件1种参数可以设置,并且包含特定的硬件设备系列的功能(如逻辑宏信用证,I / O单元,该产品期限嵌入式系统块ESB等。)网表文件。

如果用DSP Builder产生的DSP模型只是庞大设计中的一个子模块,则可以在设计中调用DSP Builder产生的VHDL文件,以构成完整的设计。同时,一样可以使用Quartus 强大的LogicLock功能和SignalTap测试技术。

在图3.2的流程中,其中HDL仿真是DSP设计中是不可或缺的。与DSP Builder配合使用的HDL仿真器是ModelSim。DSP Builder在生成VHDL代码时,可以同时生成用于测试DSP模块的TestBench(测试平台)文件,DSP Builder生成的TestBench文件使用的是VHDL 语言,测试向量与该DSP模块在Simulink 中的仿真激励相一致。通过ModelSim仿真生成的TestBench可以验证生成的VHDL 代码与Simulink中DSP模型的一致性。另外,DSP Builder在产生TestBench的同时,还产生了针对ModelSim仿真的Rcl脚本来简化用户的操作,掩盖ModelSim 仿真时的复杂性。

湖南工业大学本科毕业设计(论文)

第4章基于DSP Builder的滤波器设计与仿真

4.1设计软件简介

4.1.1 DSP Builder的简介

DSP Builder[9]是美国Altera公司推出的一个面向DSP开发的系统级设计开发工具,它在QuartusⅡ设计环境中集成了Matlab和SimuIinkDSP开发软件。以往我们所使用的Matlab工具仅仅是作为DSP算法的建模和基于纯数学的仿真,其数学模型不能为我们硬件DSP应用系统来直接产生实用的程序代码,仿真测试的结果也往往是基于数学的算法结果。然而以往的FPGA所需要的传统基于硬件描述语言的设计因为考虑了FPGA硬件的延时和VHDL递归算法的衔接,以及补码运算和乘积结果截取等问题,因此相当繁琐。

DSP Builder作为Matlab的一个Simulink工具箱,使得用FPGA设计的DSP 系统可以通过Simulink的图形化界面进行建模、系统级仿真。设计模型可以直接向VHDL硬件描述语言转换,并且自动调用QuartusⅡ等EDA设计软件,来完成综合、网表生成以及器件适配乃至FPGA的配置下载,使系统描述与硬件实现有机地融合,完美体现了现代电子技术自动化开发的优势和特点。

4.1.2 MATLAB的简介

MATLAB[10]的名子出自Matrix Laboratory,它是一种科学计算软件,专门以矩阵的形式处理数据。MATLAB将高性能的数值计算和可视化集成在一起,并提供了大量的内置函数,从而被广泛地应用于科学计算、控制系统、信息处理等领域的分析、仿真和设计工作,而且利用MATLAB产品的开放式结构,可以非常容易地对MATLAB的功能进行扩充,从而在不断深化对问题认识的同时,不断完善MATLAB产品以提高产品自身的竞争能力。

目前MATLAB产品族可以用来进行:数值分析、数值和符号计算、工程与科学绘图、控制系统的设计与仿真、数字图像处理、数字信号处理、通讯系统设计与仿真、财务与金融工程。

4.1.3 Quartus的简介

Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。Altera Qua

基于FPGA数字高通滤波器设计

rtus II(3.0和更高版本)设计软件是业界唯一提供FPGA和固定功能Har dCopy器件统一设计流程的设计工具。工程师使用同样的低价位工具对Str atix FPGA进行功能验证和原型设计,又可以设计HardCopy Stratix器件用于批量成品。系统设计者现在能够用Quartus II软件评估HardCopy Stratix 器件的性能和功耗,相应地进行最大吞吐量设计。

Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。Quartus平台与Cadence、ExemplarLogic、MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。

4.1.4 软件安装问题

本次毕业设计设计中,为了使设计能够顺利完成,在安装这三个软件时需要注意的是:双击软件安装包里的setup,然后按提示步骤去安装软件,完成后我们还需要留意license是否已经匹配。打开Quartus II软件的在Tools中点击进入License Setup界面,勾上“Use LM_LICENSE_FILE variable”,PC用户通过新建环境变量LM_LICENSE_FILE来指定license的保存路径。将dsp builder的license.dat和Quartus的license.dat两者的路径都加到LM_LICENSE_FILE里,多个路径之间用分号;隔开。这样,“Licensed AMPP/MegaCore functions”中即包含了dsp builder模块,又包含了原来Quartus的模块,如图4.1所示;此时进入打开MATLAB软件进入Simulink模块,然后运行一个实例,双击文件中的SignalCompiler,如果能完成指定操作则表明软件已经安装成功。

湖南工业大学本科毕业设计(论文)

图4.1Options界面

4.2 16阶FIR滤波器设计

4.2.1 4阶滤波器模型的建立

通过理解FIR数字滤波器原理,我们可以利用FPGA来实现FIR滤波电路。DSP Builder设计流程的第一步是在Matlab的Simulink环境中建立一个MDL模型文件,如图4.2所示,从DSP Builder和其他Simulink库中调用相关的图形模块,构成4阶FIR滤波器节,如图4.3所示。

基于FPGA数字高通滤波器设计

图4.2建立新模型

图4.34阶FIR滤波器节

湖南工业大学本科毕业设计(论文)

4.2.2 在Simulink仿真并生产VHDL代码

我们可以在Simulink中对设计完成后的滤波器模型进行仿真,通过Simulink 中的示波器模块来查看仿真的结果,分析是否符合要求。

双击已完成模块中的SignalCompiler并选择相应的芯片,然后就可以将以上设计模块图文件“翻译”成VHDL语言。

双击模型中的“SignalCompiler”模块的时候,会弹出如图4.4所示的对话框,选择“Analyze”(分析)按钮,可以对模型进行分析,系统检查模型设计中是否存在错误,并会在Matlab主窗口弹出对话框给出相关信息。如果存在错误(Error)信息,则SignalCompiler会停止分析过程,并将错误信息在Matlab主窗口“Command Window”命令窗口中显示出来;在分析过程结束后,打开SignalCompiler窗口(如图4.4所示),如果存在警告(Warning),同样会把警告信息显示在命令窗口中。

图4.4 双击SignalCompiler后的对话框

图4.5SignalCompiler窗口

当设置好后,右侧的硬件编译“Hardware Compilation”部分就会列出一个操作流程,如图4.5所示,该流程为:

(1)“Convert MDL to VHDL”:将.mdl文件转换为VHDL文件;

基于FPGA数字高通滤波器设计

(2)“Synthesis”:综合;

(3)“Quartus Ⅱ”:Quartus编译适配,生成编程文件。

通过上述的流程,然后点击图标,系统就会将*.mdl 文件转换成VHDL文件。转换成功后,则会在“Messages”信息窗口中显示相关信息。

4.2.3 16阶FIR滤波器模型的建立

首先,新建一个MDL模型文件,将上述4阶FIR高通滤波器模型转变为一个子系统(SubSystem),将子系统取名为fir4tap,fir4tap的内部结构如图4.6 所示。

图4.6fir4tap子系统内部原理图

复制4个fir4tap子系统,将它们组合起来。前面的子系统的输出窗口out2接后面的子系统的ln1输入端口,并且附上16个常数端口,作为FIR滤波器系数的输入。把4个子系统fir4tap的输出端口out1连接起来,接入一个4输入端口的加法器,得到FIR滤波器的输出yout。

修改它的Mask参数:选中子系统模型,然后选择菜单“Edit”中的

,在对话框中选择“Documentation”选项页,设置“Mask type”为“SubSystem AlteraBlockSet”(子系统Altera模块集),如图4.7所示。

有源带通滤波器设计报告

有源带通滤波器设计报告 学生姓名崔新科 同组者王霞吴红娟 指导老师王全州

摘要 该设计利用模拟电路的相关知识,设定上线和下限频率,采用开环增益80dB 以上的集成运算放大器,设计符合要求的带通滤波器。再利用Multisim 仿真出滤波电路的波形和测量幅频特性。通过仿真和成品调试表明设计的有源滤波器可以基本达到所要求的指标。其主要设计内容: 1.确定有源滤波器的上、下限频率; 2.设计符合条件的有源带通滤波器;- 3.测量设计的有源滤波器的幅频特性; 4.制作与调试; 5. 总结遇到的问题和解决的方法。 关键词:四阶电路有源带通滤波器极点频率 The use of analog circuit design knowledge, on-line and set the lower limit frequency, the use of open-loop gain of 80dB or more integrated operational amplifier designed to meet the requirements of the bandpass filter. Re-use Multisim circuit simulation waveform and filter out the measurement of amplitude-frequency characteristics. Finished debugging the simulation and design of active filters that can basically meet the required targets. The main design elements: 1. Determine the active filter, the lower limit frequency; 2. Designed to meet the requirements of the active band-pass filter; - 3. Designed to measure the amplitude-frequency characteristics of active filters; 4. Production and commissioning; 5 summarizes the problems and solutions. Keywords: fourth-order active band-pass filter circuit pole frequency

基于FPGA的数字时钟的设计1

基于FPGA的数字时钟的设计课题: 基于FPGA的数字时钟的设计 学院: 电气信息工程学院 专业: 测量控制与仪器 班级 : 08测控(2)班 姓名 : 潘志东 学号 : 08314239 合作者姓名: 颜志林 2010 年12 月12 日

综述 近年来随着数字技术的迅速发展,各种中、大规模集成电路在数字系统、控制系统、信号处理等方面都得到了广泛的应用。这就迫切要求理工科大学生熟悉与掌握常用中、大规模集成电路功能及其在实际中的应用方法,除通过实验教学培养数字电路的基本实验方法、分析问题与故障检查方法以及双踪示波器等常用仪器使用方法等基本电路的基本实验技能外,还必须培养大学生工程设计与组织实验能力。 本次课程设计的目的在于培养学生对基本电路的应用与掌握,使学生在实验原理的指导下,初步具备基本电路的分析与设计能力,并掌握其应用方法;自行拟定实验步骤,检查与排除故障、分析与处理实验结果及撰写实验报告的能力。综合实验的设计目的就是培养学生初步掌握小型数字系统的设计能力,包括选择设计方案,进行电路设计、安装、调试等环节,运用所学知识进行工程设计、提高实验技能的实践。数字电子钟就是一种计时装置,它具有时、分、秒计时功能与显示时间功能;具有整点报时功能。 本次设计我查阅了大量的文献资料,学到了很多关于数字电路方面的知识,并且更加巩固与掌握了课堂上所学的课本知识,使自己对数字电子技术有了更进一步的认识与了解。

1、课题要求 1、1课程设计的性质与任务 本课程就是电子与信息类专业的专业的专业基础必修课——“数字电路”的配套实验课程。目的在于培养学生的理论联系实际,分析与解决问题的能力。通过本课程设计,使学生在理论设计、计算机仿真、指标调测、故障排除等方面得到进一步的训练,加强学生的实践能力。学生通过设计、仿真、调试、撰写设计报告等过程,培养学生的动手能力与严谨的工作作风。 1、2课程设计的基本技术要求 1)根据课题要求,复习巩固数字电路有关专业基础知识; 2)掌握数字电路的设计方法,特别就是熟悉模块化的设计思想; 3) 掌握QUARTUS-2软件的使用方法; 4) 熟练掌握EDA工具的使用,特别就是原理图输入,波形仿真,能对仿真波形进行分析; 5) 具备EDA技术基础,能够熟练使用VHDL语言进行编程,掌握层次化设计方法; 6) 掌握多功能数字钟的工作原理,学会不同进制计数器及时钟控制电路的设计方法; 7) 能根据设计要求对设计电路进行仿真与测试; 8) 掌握将所设计软件下载到FPGA芯片的下载步骤等等。 9) 将硬件与软件连接起来,调试电路的功能。 1、3课程设计的功能要求 基本功能:能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时,60分钟,60秒钟的计数器显示。 附加功能:1)能利用硬件部分按键实现“校时”“校分”“清零”功能; 2)能利用蜂鸣器做整点报时:当计时到达59’59’’时开始报时, 鸣叫时间1秒钟; 3)定时闹铃:本设计中设置的就是在七点时进行闹钟功能,鸣叫 过程中,能够进行中断闹铃工作。 本人工作:负责软件的编程与波形的仿真分析。 2、方案设计与分析

巴特沃斯有源高通滤波器的设计

昆明理工大学课程设计说明书 课题名称:巴特沃斯有源高通滤波器的设计专业名称:电子信息工程 学生班级:09级电信三班 学生姓名:周剑彪 学生学号:200911513339 指导老师:王庆平 设计时间:2011年6月23日

第一部分:题目分析及设计思路 (一)、滤波器简介 滤波器是一种对信号有处理作用的器件或电路。主要作用是:让有用信号尽可能无衰减的通过,对无用信号尽可能大的衰减。 滤波器按照所处理的信号,可以分为:模拟滤波器和数字滤波器;按照信号的频段,可以分为:低通、高通、带通和带阻滤波器四种;按照所采用的原件,也可以分为:无源滤波器和有源滤波器。用来说明滤波器性能的技术指标主要有:中心频率f0,即工作频带的中心;带宽BW;通带衰减,即通带内的最大衰减阻带衰减等。 (二)巴特沃斯滤波器简介 巴特沃斯滤波器是电子滤波器的一种。巴特沃斯滤波器的特点是通频带的频率响应曲线最平滑。这种滤波器最先由英国工程师斯替芬〃巴特沃斯(Stephen Butterworth)在1930 年发表在英国《无线电工程》期刊的一篇论文中提出的。一级至五级巴特沃斯低通滤波器的响应如下图所示:

巴特沃斯滤波器的特点是通频带内的频率响应曲线最大限度平坦,没有起伏,而在阻频带则逐渐下降为零。在振幅的对数对角频率的波特图上,从某一边界角频率开始,振幅随着角频率的增加而逐步减少,趋向负无穷大。 (三)、巴特沃斯有源高通滤波器优化设计 设计目的 掌握滤波器的基本概念; 掌握滤波器传递函数的描述方法; 掌握巴特沃斯滤波器的设计方法; 设计一个巴特沃斯滤波器,其技术指标为: (1)阻带截止频率: fc = 1kHz ; (2)通带放大倍数:Aup =2; (3)品质因素:Q = 1; (4)阻带最小衰减率:-25dB。 设计要求: (1)确定传递函数; (2)给出电路结构和元件参数;(运算放大器可以选择) (3)利用PSPICE 软件对电路进行仿真,得到滤波器的幅频响应,是否满足设计指标;

matlab的fir高通数字滤波器的设计及分析

摘要 无限长脉冲数字滤波器的设计方法只考虑了幅度特性,没有考虑相位特性,所设的滤波器一般是某种确定的非线性相位特性。有限脉冲响应(FIR)滤波器在保证了幅度特性满足技术要求的同时,很容易做到有严格的线性相位特性。 本课题利用MATLAB软件实现。MATLAB是“矩阵实验室”(MATrix LABoratoy)的缩写,是一种科学计算软件,它使用方便,输入简捷,运算高效,内容丰富,因此利用MATLAB软件,通过一系列较为系统的函数法,根据已知的技术指标,就可以设计出满足要求的滤波器。 关键字:MATLAB;窗函数;FIR带阻数字滤波器;线性相位

目录 1.FIR滤波器简介 (3) 1.1 FIR的特点 (3) 2.2线性相位 (3) 2.主要设计内容 (5) 3.窗函数 (6) 3.1常用窗函数 (6) 3.2窗函数的指标 (9) 4应用窗函数法设计 FIR 数字滤波器的步骤 (10) 4.1数字高通滤波器的设计: (10) 总结 (11) 参考文献 (12) 附录 (13)

1.FIR 滤波器简介 数字滤波器是一种用来过滤时间离散信号的数字系统,通过对抽样数据进行 数学处理来达到频域滤波的目的。根据其单位冲激响应函数的时域特性可分为两类:无限冲激响应(IIR )滤波器和有限冲激响应(FIR )滤波器。 1.1 FIR 的特点 FIR 滤波器的主要优点为:系统总是稳定的,FIR 滤波器的系统函数可以表示为 (2-1) 易知,H (z ) 在 Z 平面上有 N -1个零点,z =0 是 N -1 阶极点,因此FIR 系统总是稳定的(极点都在单位圆内)。FIR 滤波器的优点之二:容易实现线性相位。当 FIR 系统的单位冲激响应满足 时,该系统具有线性 相位。 (N 为奇数) (2-2) (N 为偶数) (2-3) FIR 滤波器的优点之三:允许设置多通带(或多阻带)滤波器。FIR 滤波器的优点之四:FIR 滤波器可以采用 FFT 方法实现其功能,从而大大提高效率。FIR 滤波器的缺点:由于 FIR 系统只有零点,因此这类系统不像FIR 滤波器不像 IIR 滤波器那样容易取得比较好的通带与阻带衰减特性。要取得较好的衰减特性,一般要求 H (z ) 的阶次较高。综合起来看, FIR 滤波器具有IIR 滤波器没有的许多特点,得到了越来越广泛的应用。 FIR 滤波器的设计方法主要有三种:a.窗函数设计法;b.频率抽样发;c.最小平法抽样法;这里我主要讨论在MATLAB 环境下通过调用信号分析与处理工具箱的几类窗函数来设计滤波器并分析与比较其性能 2.2线性相位 一个单一频率的正弦信号通过一个系统,假设它通过这个系统的时间需要t ,则这个信号的输出相位落后原来信号wt 的相位。从这边可以看出,一个正弦信号通过一个系统落后的相位等于它的w *t ;反过来说,如果一个频率为w 的正弦信号通过系统后,它的相位落后delta ,则该信号被延迟了delta /w 的时间。在实 11 1) 1(10)()()()(--=-----=-===∑∑N N n n N N N n n z z f z n h z z n h z H )1()(n N h n h --±=2/)1()(--=N ωω?2/)1(2/)(--=N ωπω?

滤波器设计的实验报告

实验三滤波器设计 一、实验目的: 1、熟悉Labview的软件操作环境; 2、了解VI设计的方法和步骤,学会简单的虚拟仪器的设计; 3、熟悉创建、调试VI; 4、利用Labview制作一个滤波器,实现低通、高通、带通、带阻等基本滤波功能,并调节截止频率实现滤波效果。 二、实验要求: 1、可正弦实现低通、高通、带通、带阻等基本滤波功能,并图形显示滤波前后波形; 2、可调节每种滤波器的上限截止频率或者下限截止频率; 3、给出每种滤波器的幅频特性; 三、设计原理: 1、利用LABVIEW中的数字IIR、FIR数字滤波器实现数字滤波功能,参数可调;

2、将两路不同频率的信号先叠加,然后通过滤波,将一路信号滤除,而保留有用信号,Hz f Hz f 100,2021==; 3、叠加即将两个信号相加,用到一个数学公式; 4、信号进入case 结构,结构中有两路分支,每路分支均有一个滤波模块,其中一个为IIR 滤波器,另一个为FIR 滤波器,通过按钮可选择IIR 或是FIR.每个滤波模块都可通过外部按钮对其参数进行调整,各个过程的波形都用波形图显示出来; 5、将IIR 、FIR 滤波器的“滤波信息”接线端用控件按名称解除捆绑接入波形图,观察波形的幅度和相位; 6、用一个while 循环实现不重新启动既可以改参数。 四、设计流程: 1、前面板的设计:

2、程序框图的设计: 五、实验结果: 1、低通滤波功能:将100Hz的信号滤除,保留20Hz的信号 用IIR巴特沃斯滤波器,将低截止频率设置为25Hz。

用FIR滤波器,拓扑类型选择Windowed FIR,将最低通带设置为50。 用IIR巴特沃斯滤波器,将低截止频率设置为90Hz。

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

高通滤波器设计及仿真

信息与电气工程学院 电子电路仿真及设计CDIO三级项目 设计说明书 (2013/2014学年第二学期) 题目:高通滤波器系统仿真及设计 专业班级:通信工程班

目录 第一章文氏桥振荡器-------------------------------------------------1 1.1振荡器的设计及要求 ---------------------------------------------1 1.2系统工作原理 ---------------------------------------------------1 1.3电路设计原理图,实物图, 参数计算及仿真 --------------------------2第二章高通滤波器---------------------------------------------------6 2.1实际滤波器的基本参数--------------------------------------------6 2.2滤波器的设计目的------------------------------------------------6 2.3设计要求--------------------------------------------------------7 2.4系统的设计方案--------------------------------------------------7 2.5系统工作原理----------------------------------------------------7 2.6滤波器设计仿真,仿真结果,实物图,实测结果----------------------7 第三章合成电路----------------------------------------------------11 3.1合成电路仿真图-------------------------------------------------11 3.2焊接成品-------------------------------------------------------12 第四章心得体会----------------------------------------------------14 附录---------------------------------------------------------------14 参考文献-----------------------------------------------------------14

数字高通FIR滤波器

数字高通FIR滤波器 目录 1整体知识的介绍 (2) 1.1MATLAB的介绍 (2) 1.1.1基本功能 (2) 1.1.2应用 (3) 1.2滤波器的介绍 (3) 1.3高通滤波器及其应用 (4) 1.3.1高通滤波器的定义 (4) 1.3.2高通滤波器的应用 (4) 2 FIR滤波器的一般分析 (5) 2.1高通滤波的时域分析 (5) 2.2高通滤波器频域分析 (6) 3频率取样法的数字高通滤波器的实现 (8) 3.1设计条件 (8) 3.2 FIR 滤波器的仿真实现 (10) 3.2.1FDATOOL工具箱 (10) 3.2.2 FIR滤波器参数设置 (11) 3.2.3 利用SPTool仿真 (12) 4实验小结 (14) 5参考文献 (15)

1整体知识的介绍 1.1MATLAB的介绍 MATLAB是矩阵实验室(Matrix Laboratory)的简称,是美国MathWorks公司出品的商业数学软件,用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB和Simulink两大部分。 1.1.1基本功能 MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学计算软件的先进水平。 MATLAB和Mathematica、Maple并称为三大数学软件。它在数学类科技应用软件中在数值计算方面首屈一指。MATLAB可以进行矩阵运算、绘制函数和数据、实现算法、创建用户界面、连接其他编程语言的程序等,主要应用于工程计算、控制设计、信号处理与通讯、图像处理、信号检测、金融建模设计与分析等领域。 MATLAB的基本数据单位是矩阵,它的指令表达式与数学、工程中常用的形式十分相似,故用MATLAB来解算问题要比用C,FORTRAN等语言完成相同的事情简捷得多,并且MATLAB也吸收了像Maple等软件的优点,使MATLAB成为一个强大的数学软件。在新的版本中也加入了对C,FORTRAN,C++ ,JAVA的支持。可以直接调用,用户也可以将自己编写的实用程序导入到MATLAB函数库中方便自己以后调用,此外许多的MATLAB爱好者都编写了一些经典的程序,用户可以直接进行下载就可以用。

二阶高通滤波器的设计

模拟电路课程设计报告设计课题:二阶高通滤波器的设计 专业班级:电信本 学生姓名: 学号:69 指导教师: 设计时间:1月3日

题目:二阶高通滤波器的设计 一、设计任务与要求 ① 分别用压控电压源和无限增益多路反馈二种方法设计电路; ② 截止频率f c =200Hz ; ③ 增益A V =2; ④ 用桥式整流电容滤波集成稳压块电路设计电路所需的正负直流电源(±12V )。 二、方案设计与论证 二阶高通滤波器是容许高频信号通过、但减弱(或减少)频率低于截止频率信号通过的滤波器。高通滤波器有综合滤波功能,它可以滤掉若干次高次谐波,并可减少滤波回路数。对于不同滤波器而言,每个频率的信号的减弱程度不同。其在音频应用中也使用低音消除滤波器或者噪声滤波器。本设计为分别使用压控电压源和无限增益多路反馈两种方法设计二阶高通滤波器。二者电路都是基于芯片ua741设计而成。将信号源接入电路板后,调整函数信号发生器的频率,通过观察示波器可以看到信号放大了2倍。现在工厂对于谐波的治理,应用最多的仍然是高压无源滤波器,高压无源滤波器有多种接线方式,其中单调谐滤波器及二阶高通滤波器使用最为广泛,无源滤波器具有结构简单、设备投资较少、运行可靠性较高、运行费用较低等优点, 2.1设计一、用压控电压源设计二阶高通滤波电路 与LPF 有对偶性,将LPF 的电阻和电容互换,就可得一阶HPF 、简单二阶HPF 、压控电压源二阶HPF 电路采用压控电压源二阶高通滤波电路。 电路如图2-1所示,参数计算为: 通带增益: 3 4 1R R Aup + = Aup 表示二阶高通滤波器的通带电压放大倍数 截止频率: RC f π210=

FPGA实训报告——简易数字钟

桂林电子科技大学职业技术学院 课题:FPGA实训 专业:电子信息工程技术 学号: 姓名:

目录 关键词: (1) 引言: (1) 设计要求: (1) EDA技术介绍: (1) Verilog HDL简介: (1) 方案实现: (2) 工作原理: (2) 总结: (3) 结语: (3) 程序设计: (4)

数字钟 关键词:EDA、Verilog HDL、数字钟 引言: 硬件描述语言HDL(Hardware Des-cription Language)是一种用形式化方法来描述数字电路和系统的语言。目前,电子系统向集成化、大规模和高速等方向发展,以硬件描述语言和逻辑综合为基础的自顶向下的电路设计发放在业界得到迅猛发展,HDL在硬件设计领域的地位将与C和C++在软件设计领域的地位一样,在大规模数字系统的设计中它将逐步取代传统的逻辑状态表和逻辑电路图等硬件描述方法,而成为主要的硬件描述工具。 Verilog HDL是工业和学术界的硬件设计者所使用的两种主要的HDL之一,另外一种是VHDL。现在它们都已经成为IEEE标准。两者各有特点,但Verilog HDL拥有更悠久的历史、更广泛的设计群体,资源也远比VHDL丰富,且非常容易学习掌握。 此次以Verilog HDL语言为手段,设计了多功能数字钟,其代码具有良好的可读性和易理解性。 设计要求: 数字钟模块、动态显示模块、调时模块、到点报时模块等;必须有键防抖动功能。可自行设计8位共阴数码管显示;亦可用FPGA实验平台EDK-3SAISE上的4位数管,但必须有秒指导灯。 EDA技术介绍: 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 Verilog HDL简介: 硬件描述语言Verilog是Philip R.Moorby于1983年在英格兰阿克顿市的Gateway Design Automation硬件描述语言公司设计出来的,用于从开关级到算法级的多个抽象设

二阶高通滤波器的设计 (2)

前言 当今时代,随着科学技术的发展,先进的电子技术在各个近代学科门类和技术领域中有着不可或缺的核心地位。以前的三次工业革命就使我们的社会发生了翻天覆地的变化,使我们由手工时代进入了现代的电器时代。同时科技在国家的国防事业中发挥了重要的作用,只有科技发展了才能使一个国家变得强大。而作为二十一世纪的一名大学生,不仅仅要将理论只是学会,更为重要的是要将所学的知识用于实际生活之中,使理论与实践能够联系起来。 对信号进行分析与处理时, 常常会遇到有用信号叠加上无用噪声的问题, 这些噪声有的是与信号同时产生的, 有的是传输过程中混入的。因此, 从接收的信号中消除或减弱干扰噪声, 就成为信号传输与处理中十分重要的问题。根据有用信号与噪声的不同特性, 消除或减弱噪声,提取有用信号的过程称为滤波, 实现滤波功能的系统称为滤波器。 低通滤波器在现实生活中运用也十分广泛。该种滤波器是只有在规定的频率范围内才能使信号通过,而且其电路性能稳定,增益容易调节。利用这一性质不仅可以滤出有用信号且同时抑制无用信号。工程上也常常用低通滤波器作信号处理、数据传递和抑制干扰等。例如:无线电发射机使用低通滤波器阻塞可能引起与其它通信发生干扰的谐波发射;固体屏障也是一个声波的低通滤波器,当另外一个房间中播放音乐时,很容易听到音乐的低音,但是高音部分大部分被过滤掉。 我国现在有滤波器的种类和所覆盖的频率虽然基本上满足现有的各种电信设备。但从整体而言,我国有源滤波器的发展比无源滤波器缓慢,尚未大量生产和应用。我国电子产品要想实现大规模集成,滤波器集成化仍然是个重要课题。

第一章设计任务 1.1二阶低通滤波器题目要求 a)设计截止频率f=2kHz的滤波器 b)输出增益Av=2 c)要求用压控电压源型、无限增益多路反馈型两种方法

高通滤波器设计报告

目录 一、设计项目----------------------------------------------------------------------------------------2 FIR滤波器设计 二、设计目的-----------------------------------------------------------------------------------------2 三、设计任务----------------------------------------------------------------------------------------2 任务简介 四、设计原理----------------------------------------------------------------------------------------3(1)FIR的原理和参数生成公式 (2)用MATLAB计算滤波系数 (3)程序的自编函数及其功能 五、设计方案----------------------------------------------------------------------------------------5 六、设计代码及相关截图---------------------------------------------------------------------------6 七、设计结果----------------------------------------------------------------------------------------9 八、设计结论--------------------------------------------------------------------------------------11 九、设计心得-----------------------------------------------------------------------------------------11

Verilog HDL数字时钟课程设计

课程设计报告 课程设计名称:EDA课程设计课程名称:数字时钟 二级学院:信息工程学院 专业:通信工程 班级:12通信1班 学号:1200304126 姓名:@#$% 成绩: 指导老师:方振汉 年月日

目录 第一部分 EDA技术的仿真 (3) 1奇偶校验器 (3) 1.1奇偶校验器的基本要求 (3) 1.2奇偶校验器的原理 (3) 1.3奇偶校验器的源代码及其仿真波形 (3) 28选1数据选择器 (4) 2.18选1数据选择器的基本要求 (4) 2.28选1数据选择器的原理 (4) 2.38选1数据选择器的源代码及其仿真波形 (5) 34位数值比较器 (6) 3.14位数值比较器的基本要求 (6) 3.24位数值比较器的原理 (6) 3.34位数值比较器的源代码及其仿真波形 (7) 第二部分 EDA技术的综合设计与仿真(数字时钟) (8) 1概述 (8) 2数字时钟的基本要求 (9) 3数字时钟的设计思路 (9) 3.1数字时钟的理论原理 (9) 3.2数字时钟的原理框图 (10) 4模块各功能的设计 (10) 4.1分频模块 (10) 4.2计数模块(分秒/小时) (11) 4.3数码管及显示模块 (13) 5系统仿真设计及波形图........................... 错误!未定义书签。5 5.1芯片引脚图.................................... 错误!未定义书签。5 5.2数字时钟仿真及验证结果 (16) 5.3数字时钟完整主程序 (17) 6课程设计小结 (23) 7心得与体会 (23) 参考文献 (24)

用matlab设计高通滤波器,雪比切夫、fir两种方法 课程设计HPF

课 程 设 计 20011 年 7月 1日 设计题目 学 号 专业班级 指导教师 学生姓名 张腾达 吴晔 陈丽娟 杨蕾 通信电子电路课程设计 ——数字滤波器的设计 张静 20080302 光信息08-3 班 实验组员 张静 胡磊 艾永春 赵亚龙 王宏道 胡进娟 马丽婷

设计题目通信电子电路课程设计 ——数字滤波器的设计 成绩 课程设计主要内容通信电子电路课程设计——数字滤波器的设计 某系统接收端接收到的信号为:y=cos(2π*60t)+1.2cos(2π *140t)+2sin(2π*220t) +1.5sin(2π*300t),此信号夹杂了一个正弦噪声noise= cos(2π*60t),设计一个高通滤波器将此噪声滤除,恢复原信号。 内容: 1.窗函数法设计FIR数字高通滤波器 2.切比雪夫1型高通滤波器 指导老师评语建议:从学生的工作状态、工作量、设计论文的创造性、学术性、实用性及书面表达能力等方面给出评价。 签名: 20 年月日

设计要求: 某系统接收端接收到的信号为 y=cos(2π*60t)+1.2cos(2π*140t)+2sin(2π*220t) +1.5sin(2π*300t) (A) 发现此信号夹杂了一个正弦噪声noise=1.5sin(2π*300t),请设计一个低通滤波器将此噪声滤除,从而恢复原信号。 (B) 发现此信号夹杂了一个正弦噪声noise= cos(2π*60t) +1.5sin(2π*300t) ,请设计一个带通滤波器将此噪声滤除,从而恢复原信号。 (C) 发现此信号夹杂了一个正弦噪声noise= 1.2cos(2π*140t)+2sin(2π*220t),请设计一个带阻滤波器将此噪声滤除,从而恢复原信号。 (D) 发现此信号夹杂了一个正弦噪声noise= cos(2π*60t),请设计一个高通滤波器将此噪声滤除,从而恢复原信号。 要求: (1)请写出具体的MATLAB程序,并详细解释每条程序(2)画出滤波前后信号的频谱图 (3)画出所设计滤波器的幅频和相频特性图,并写出具体参数

有源高通滤波器电路设计(100Hz截止频率)

长沙学院课程设计说明书 题目有源高通滤波器电路设计系(部) 电子与通信工程系 专业(班级) 电气工程及其自动化姓名 学号 指导教师 起止日期

模拟电子技术课程设计任务书 系(部):电子与通信工程系专业:电气工程及其自动化指导教师:

长沙学院课程设计鉴定表

目录 摘要 (5) 1.电路设计 (6) 1.1.电路元件及参数的选择 (6) 1.2.电路原理图绘制 (6) 2.电路的仿真 (7) 2.1.使用Multisim9仿真波特图示仪 (7) 2.2.使用Multisim9仿真示波器 (7) 2.2.1.输入信号频率小于截止频率时的仿真 (7) 2.2.2.输入信号频率等于截止频率时的仿真 (8) 2.2.3.输入信号频率大于截止频率时的仿真 (8) 参考文献 (9) 设计总结 (9)

摘要 滤波器是一种能使有用信号通过而大幅抑制无用信号的电子装置。常用来进行信号处理、数据传输和抑制噪声等。以往这种滤波电路主要采用无源R、L和C组成,20世纪60年代以来,集成运放获得了迅速发展,由它和R、C组成的有源滤波电路,具有不用电感、体积小、重量轻等优点。此外,由于集成运放的开环电压和输入阻抗均很高,输出阻抗又低,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但是,集成运放的带宽有限,所以目前有源滤波电路的工作频率难以做的很高,以及难于对功率信号进行 滤波,这是它的不足之处。]1[在实际电子系统中,有源滤波器运用广泛,输入信号往往是含有多种频率成 分的复杂信号,可能还会混入各种噪声、干扰及其它无用频率的信号,因此需要设法将有用频率信号挑选出来、将无用信号频率抑制掉。完成此任务需要具有选频功能的电路。本文主要内容是设计一个能阻挡低频信号、输出高频信号的有源高通滤波电路,以及利用Multisim9对电路进行仿真。本电路所用到的运算放大器LM741EN,它的管脚1和5为调零端,管脚2为运放反相输入端,管脚3为同相输入端,管脚6为输出端,管脚7为正电源端,管脚4为负电源端,管脚8为空端。Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 关键词:滤波器运算放大器有源滤波电路有源高通滤波电路Multisim 电路仿真

FPGA课程设计多功能数字钟讲解

多功能数字钟 开课学期:2014—2015 学年第二学期课程名称:FPGA课程设计 学院:信息科学与工程学院 专业:集成电路设计与集成系统班级: 学号: 姓名: 任课教师: 2015 年7 月21 日

说明 一、论文书写要求与说明 1.严格按照模板进行书写。自己可以自行修改标题的题目 2.关于字体: a)题目:三号黑体加粗。 b)正文:小四号宋体,行距为1.25倍。 3.严禁抄袭和雷同,一经发现,成绩即判定为不及格!!! 二、设计提交说明 1.设计需要提交“电子稿”和“打印稿”; 2.“打印稿”包括封面、说明(即本页内容)、设计内容三部分;订书机左边装订。 3.“电子稿”上交:文件名为“FPGA课程设计报告-班级-学号-姓名.doc”,所有报告发送给班长,由班长统一打包后统一发送到付小倩老师。 4.“打印稿”由班长收齐后交到:12教305办公室; 5.上交截止日期:2015年7月31日17:00之前。

第一章绪论 (3) 关键词:FPGA,数字钟 (3) 第二章FPGA的相关介绍 (4) 2.1 FPGA概述 (4) 2.2 FPGA特点 (4) 2.3 FPGA设计注意 (5) 第三章Quartus II与Verilog HDL相关介绍 (7) 3.1 Quartus II (7) 3.2 Verilog HDL (7) 第四章设计方案 (8) 4.1数字钟的工作原理 (8) 4.2 按键消抖 (8) 4.3时钟复位 (8) 4.4时钟校时 (8) 4.5数码管显示模块。 (8) 第五章方案实现与验证 (9) 5.1产生秒脉冲 (9) 5.2秒个位进位 (9) 5.3按键消抖 (9) 5.4复位按键设置 (10) 5.5 数码管显示。 (10) 5.6 RTL结构总图 (11) 第六章实验总结 (14) 第七章Verilog HDL源代码附录 (15)

基于matlab数字图像处理之高通滤波器

实践二:理想高通滤波器、Butterworth高通滤波器、高斯高通滤波器 2.1.1理想高通滤波器实践代码: I=imread(''); subplot(221),imshow(I); title('原图像'); s=fftshift(fft2(I)); subplot(223), imshow(abs(s),[]); title('图像傅里叶变换所得频谱'); subplot(224), imshow(log(abs(s)),[]); title('图像傅里叶变换取对数所得频谱'); [a,b]=size(s); a0=round(a/2); b0=round(b/2); d=10; p=;q=; fori=1:a forj=1:b distance=sqrt((i-a0)^2+(j-b0)^2); ifdistance<=dh=0; elseh=1; end; s(i,j)=(p+q*h)*s(i,j); end; end; s=uint8(real(ifft2(ifftshift(s)))); subplot(222), imshow(s);title('高通滤波所得图像'); I=imread(''); [f1,f2]=freqspace(size(I),'meshgrid'); Hd=ones(size(I)); r=sqrt(f1.^2+f2.^2); Hd(r<=0; figure surf(Hd,'Facecolor','interp','Edgecolor','none','Facelighting','phong');%画三维曲面(色)图 2.1.2理想高通滤波器实践结果截图: 2.2.1Butterworth高通滤波器实践代码: I1=imread(''); subplot(121),imshow(I1);

fpga数字钟课程设计报告

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 学号:20133638 姓名:王一丁 指导教师:李世平 设计时间:2016年1月

摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟

目录 摘要 1 课程设计目的 2 课程设计内容及要求 2.1 设计任务 2.2 设计要求 3 VHDL程序设计 3.1方案论证 3.2 系统结构框图 3.3设计思路与方法 3.3.1 状态控制模块 3.3.2 时分秒模块 3.3.3 年月日模块 3.3.4 显示模块 3.3.5脉冲产生模块 3.3.6 扬声器与闹钟模块 3.4 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献

1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。 2 课程设计内容及要求 2.1 设计任务 (1)6个数字显示器显示时分秒,setpin按键产生一个脉冲,显示切换为年月日。 (2)第二个脉冲可预置年份,第三个脉冲可以预置月份,依次第四、 五、六、七个脉冲到来时分别可以预置时期、时、分、秒,第八个脉冲到来后预置结束正常从左显示时分秒。 (3)up为高时,upclk有脉冲到达时,预置位加一,否则减一。 2.2 设计要求 (1)在基本功能的基础上,闹钟在整点进行报时,产生一定时长的高电平。 (2)实现闹钟功能,可对闹钟时间进行预置,当达到预置时间时进行报时。

DSP高通滤波器课程设计报告

D S P课程设计报告 题目:FIR高通滤波器设计 姓名 学号 教学院系 专业年级 指导教师

DSP课程设计 目录 一、设计题目 (1) 二、设计目标 (1) 三、算法研究与参数计算 (1) 1、FIR的原理和参数生成公式 (1) 2、利用MATLAB计算滤波系数 (1) 3、输入信号参数计算 (2) 四、编写源程序 (3) 五、调试过程 (4) 1、调试前准备 (5) 2、MATLAB的使用 (5) 3、编写及编译程序 (5) 4、设置断点和探针 (6) 5、打开观察窗口 (6) 六、实验结果及分析 (6) 1、输入信号的时域波形和频域波形 (6) 2、输出信号的时域波形和频域波形 (7) 七、设计心得 (8)

1 一、设计题目 FIR 高通滤波器设计 二、设计目标 设计一个FIR 高通滤波器,通带边界频率为6000Hz ,采样频率为20000Hz 。FIR 滤波器的设计用MA TLAB 窗函数法进行。 三、算法研究与参数计算 1、FIR 的原理和参数生成公式 图3-1 2、利用MATLAB 计算滤波系数 在MATLAB 界面输入图3-2所示程序,可得到滤波系数并生成INC 文件。 图 3-2

DSP 课程设计 2 输入freqz (y ,1,512),MATLAB 中显示高通滤波器的滤波特性曲线。如图3-3所示。 图3-3 3、输入信号参数计算 MATLAB 中输入图3-4中所示程序,包含两种频率成分的正弦信号,一种信号频率1000Hz ,一种信号6000Hz 。 图3-4 其频谱特性曲线如图3-5。 图3-5

FIR高通滤波器设计 四、编写源程序 参考资料,编写汇编语言源程序: HIGHPASS .set 1 ;if you want to use ,please set the value to 1 .global start,fir .mmregs COFF_FIR_START: .sect "coff_fir" .copy "0126.inc" K_FIR_BFFR .set 64 d_data_buffer .usect "fir_bfr",64 FIR_DP .usect "fir_vars",0 d_filin .usect "fir_vars",1 output .usect "fir_vars",1 input .usect "fir_vars",1 d_filout .usect "fir_vars",100h stacksize .set 256 stack .usect "fir_vars",stacksize .asg AR4,FIR_DATA_P .asg AR6,INBUF_P .asg AR7,OUTBUF_P .asg AR3,OUTBUF .asg AR2,INBUF .sect "fir_prog" nop start: stm #stack+stacksize,SP LD #FIR_DP,DP STM #d_data_buffer,FIR_DATA_P RPTZ A,#K_FIR_BFFR-1 STL A,*FIR_DATA_P+ STM #d_filin,INBUF_P 3

相关文档
相关文档 最新文档