文档库 最新最全的文档下载
当前位置:文档库 › 数电实验实验报告

数电实验实验报告

数电实验实验报告
数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析

一.试验用集成电路引脚图

74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验容 1.实验一

自拟表格并记录:

2.实验二

密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么?

X1

2.5 V

A B

C

D

示灯:灯亮表示“1”,灯灭表示“0”

ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。

最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0

1

1

1

1

1

1

1

1

1

三.实验体会:

1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。

2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。

实验二 组合逻辑实验(一) 半加器和全加器

一.实验目的

1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习容

1. 复习用门电路设计组合逻辑电路的原理和方法步骤。

2. 复习二进制数的运算。

3. 用“与非门”设计半加器的逻辑图。

4. 完成用“异或门”、“与或非”门、“与

非”门设计全加器的逻辑图。

5. 完成用“异或”门设计的3变量判奇

电路的原理图。 三.元

件参考

U1A

74LS00D

U1B

74LS00D

U1C 74LS00D

U1D 74LS00D

U2A

74LS00D U2B

74LS00D U2C

74LS00D

U3A

74LS20D

X1

2.5 V

X2

2.5 V

VCC

5V

A

D

依次为74LS283、74LS00、74LS51、74LS136

其中74LS51:Y=(AB+CD )’,74LS136:Y=A ⊕B (OC 门) 四.实验容

1. 用与非门组成半加器,用或非门、与或非门、与非门组成全加器(电路自拟)

半加器

全加器

2. 用异或门设计3变量判奇电路,要求变量中1的个数为奇数是,输出为1,否则为0.

NOR2

S

C

3.“74LS283”全加器逻辑功能测试

测试结果填入下表中:

五.实验体会:

1.通过这次实验,掌握了熟悉半加器与全加器的逻辑功能

2.这次实验的逻辑电路图比较复杂,涉及了异或门、与或非门、与非门三种逻辑门,在接线时应注意不要接错。各芯片的电源和接地不能忘记接。

实验三组合逻辑实验(二)数据选择器和

译码器的应用

一.实验目的

熟悉数据选择器和数据分配器的逻辑功能和掌握其使用方法

二.预习容

1. 了解所有元器件的逻辑功能和管脚排列

2. 复习有关数据选择器和译码器的容

3. 用八选一数据选择器产生逻辑函数L=ABC+ABC ’+A ’BC+A ’B ’C 和L=A ⊕B ⊕C

4. 用3线—8线译码器和与非门构成一个全加器 三.参考元件

数据选择器74LS151,3—8线译码器74LS138.

四.实验容

1.数据选择器的使用:

当使能端EN=0时,Y 是A 2,A 1,A 0和输入数据D 0~D 7的与或函数,其表达式为:

Y=∑mi ?Di 7i =0(表达式1)

式中m i 是A 2,A 1,A 0构成的最小项,显然当D i =1时,其对应的最小项m i 在与或表达式中出现。当D i =0时,对应的最小项就不出现。利用这一点,不难实现组合电路。

将数据选择器的地址信号A 2,A 1,A 0作为函数的输入变量,数据输入D 0~D 7作为控制信号,控制各最小项在输出逻辑函数中是否出现,是能端EN 始终保持低电平,这样,八选一数据选择器就成为一个三变量的函数产生器。 ①用八选一数据选择器74LS151产生逻辑函数 将上式写成如下形式:L=m 1D 1+m 3D 3+m 6D 6+m 7D 7

该式符合表达式1的标准形式,显然D 1、D 3、D 6、D 7都应该等于1,二式中没有出现的最小项m 0、m 2、m 4、m 5,它们的控制信号D 0、D 2、D 4、D 5都应该等于0。由此可画出该逻辑函数产生器的逻辑图。

L=ABC+ABC ’+A ’BC+A ’B ’C

②用八选一数据选择器74LS151产生逻辑函数

根据上述原理自行设计逻辑图,并验证实际结果。

数电实验报告册

湖北理工学院电气与电子信息工程学院 实验报告 课程名称:电子技术实验(数电部分) 专业名称: 班级: 学号: 姓名: 1

湖北理工学院电气与电子信息工程学院实验报告规范实验报告是检验学生对实验的掌握程度,以及评价学生实验课成绩的重要依据,同时也是实验教学的重要文件,撰写实验报告必须在科学实验的基础上进行。真实的记载实验过程,有利于不断积累研究资料、总结研究实验结果,可以提高学生的观察能力、实践能力、创新能力以及分析问题和解决问题的综合能力,培养学生理论联系实际的学风和实事求是的科学态度。 为加强实验教学中学生实验报告的管理,特指定湖北理工学院电气与电子信息工程学院实验报告规范。 一、每门实验课程中的每一个实验项目均须提交一份实验报告。 二、实验报告内容一般应包含以下几项内容: 1、实验项目名称:用最简练的语言反映实验内容,要求与实验课程安排表中一致; 2、实验目的和要求:明确实验的内容和具体任务; 3、实验内容和原理:简要说明本实验项目所涉及原理、公式及其应用条件; 4、操作方法与实验步骤:写出实验操作的总体思路、操作规范和操作主要注意事项,准确无误地记录原始数据; 5、实验结果与分析:明确地写出最后结果,并对实验得出的结果进行具体、定量的结果分析,说明其可靠性; 6、问题与建议(或实验小结):提出需要解决问题,提出改进办法与建议,避免抽象地罗列、笼统地讨论。(或对本次实验项目进行总结阐述。) 三、实验报告总体上要求字迹工整,文字简练,数据齐全,图标规范,计算正确,分析充分、具体、定量。 四、指导教师及时批改实验报告,并将批改后的报告返还学生学习改进。 五、实验室每学期收回学生的实验报告,并按照学校规章保存相应时间。 2

数电实验报告

选课时间段: 序号(座位号): 杭州电子科技大学 实验报告 课程名称: 数字原理与系统设计实验 实验名称: 组合电路时序分析与自动化设计 指导教师: 学生姓名 学生学号 学生班级 所学专业 实验日期

实验一、设计8位串行进位加法器电路设计: 一位全加器: 八位串行进位加法器:

仿真波形:

实验二、设计5人表决电路 代码: module BJDL45(A,B,C,D,E,YES,NO); input A,B,C,D,E; output YES,NO; reg YES,NO; always@ (A,B,C,D,E,YES,NO) case ({A,B,C,D,E}) 5'B00000:{YES,NO}<=2'B01; 5'B00001:{YES,NO}<=2'B01; 5'B00010:{YES,NO}<=2'B01; 5'B00011:{YES,NO}<=2'B01; 5'B00100:{YES,NO}<=2'B01; 5'B00101:{YES,NO}<=2'B01; 5'B00110:{YES,NO}<=2'B01; 5'B00111:{YES,NO}<=2'B10; 5'B01000:{YES,NO}<=2'B01; 5'B01001:{YES,NO}<=2'B01; 5'B01010:{YES,NO}<=2'B01; 5'B01011:{YES,NO}<=2'B10; 5'B01100:{YES,NO}<=2'B01; 5'B01101:{YES,NO}<=2'B10; 5'B01110:{YES,NO}<=2'B10; 5'B01111:{YES,NO}<=2'B10; 5'B10000:{YES,NO}<=2'B01; 5'B10001:{YES,NO}<=2'B01; 5'B10010:{YES,NO}<=2'B01; 5'B10011:{YES,NO}<=2'B10; 5'B10100:{YES,NO}<=2'B01; 5'B10101:{YES,NO}<=2'B10; 5'B10110:{YES,NO}<=2'B10; 5'B10111:{YES,NO}<=2'B10; 5'B11000:{YES,NO}<=2'B01; 5'B11001:{YES,NO}<=2'B10; 5'B11010:{YES,NO}<=2'B10; 5'B11011:{YES,NO}<=2'B10; 5'B11100:{YES,NO}<=2'B10; 5'B11101:{YES,NO}<=2'B10; 5'B11110:{YES,NO}<=2'B10; 5'B11111:{YES,NO}<=2'B10; default: {YES,NO}<=2'B10; endcase

数电实验报告1

实验一门电路逻辑功能及测试 一、实验目的 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路的引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1.1置位,分别测出电压及逻辑状态。(表1.1) 输入输出 1 2 3 4 Y 电压(V) H H H H 0 0.11 L H H H 1 4.23 L L H H 1 4.23 L L L H 1 4.23 L L L L 1 4.23

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A ﹑B ﹑Y 接电平显示发光二极管。 (2)将电平开关按表1.2置位,将结果填入表中。 表 1.2 3、逻辑电路的逻辑关系 (1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分别填入表1.3﹑表1.4。 输入 输出 A B Y Y 电压(V ) L L L L 0 0 0 0.16 H L L L 1 0 1 4.18 H H L L 0 0 0 0.17 H H H L 0 1 1 4.18 H H H H 0 0 0 0.17 L H L H 1 1 0.17 输入 输出 A B Y L L 0 L H 1 H L 1 H H 输入 输出 A B Y Z L L 0 0 L H 1 0 H L 1 0 H H 1

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码 X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD是什么?

ABCD 接逻辑电平开关。 最简表达式为:X1=AB’C’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

数电实验报告

班级:姓名: 学号: 实验报告(一)TTL集成逻辑门的逻辑功能与参数测试1.测试TTL集成与非门74LS20的逻辑功能,测试结果记录如下表: 输入输出 An Bn Cn Dn Yn 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 2. 74LS20主要参数的测试 I CCL (mA) I CCH (mA) I il (mA) I OL (mA) N O= iL OL I I 3. 电压传输特性测试 V i(V) 0 0.4 0.7 0.9 1.0 1.1 1.2 1.3 1.4 2.0 3.0 4.0 … V O(V) 4.画出实测的电压传输特性曲线,并从中读出各有关参数值。

实验报告(二)CMOS 电路 1.用所给的集成电路(CD4007)实现F=ABC ,将实验结果填入真值表中,并测出高、低电平(真值表自拟,测试步骤自拟)。 2. 用所给的集成电路实现F=C B A ++(真值表自拟,测试步骤自拟)。 3. 用所给的集成电路,构成图2-2反相器。 (a )测最大灌电流I OL (V OL =0.1V ,接通图2-2中的虚线框①)。 (b )测最大拉电流I OH (V OH =4.9V,断开虚线框①,接通虚线框②。 4. 构成如图2-3所示的反相器,测最大灌电流I OL 。

实验报告(三)组合逻辑电路实验分析与设计(1) 写出由与非门组成的半加器电路的逻辑表达式 (2) 根据表达式列出真值表,并画出卡诺图判断能否简化 A B Z1 Z2 Z3 S C 0 0 0 1 1 0 1 1 实验: 1.测试由与非门组成的半加器电路的逻辑功能 A B S C 0 0 0 1 1 0 1 1 2.测试用异或门74LS86和与非门74LS00组成的半加器的逻辑功能 A B S C 0 0 0 1 1 0 1 1

数电实验报告(一)

数字电路实验设计报告

实验名称:组合逻辑研究(一)——QuartusⅡ软件的使用 实验目的: 1.学会使用QuartusⅡ软件,运用该软件设计电路原理图。 2.学会用语言设计电路原理图,并会对设计图进行功能和时序 仿真。 3.学会从QuartusⅡ软件中下载原理图到FPGA,测试电路功能。实验仪器: 1.计算机1台 2.数字电路实验板1块 实验内容: 1.利用软件,用原理图输入的方法实现三变量多数表决器电 路,进行功能和时序仿真,记录仿真波形。 2.利用QuartusⅡ软件,用VHDL文本输入的方法实现一位全加 器电路,进行功能和时序仿真,并下载入FPGA,在试验箱上 测试其电路功能。 设计过程及仿真结果: 1.三变量多数表决器原理图

功能仿真波形 时序仿真波形 2.一位全加器的VHDL语言描述 entity add1 is port( A,B,C: in bit; D,S: out bit ); end add1; architecture one of add1 is begin S<=A XOR B XOR C; D<=((A XOR B) AND C) OR (A AND B); end one;

一位全加器功能真值表 验证其功能 功能仿真波形 时序仿真波形

实验结果分析: (1)由仿真结果可以看出,三变量多数表决器电路原理图及一位全加器的VHDL语言描述正确。 (2)由仿真结果可知,功能仿真时对信号的输入没有延迟,而时序仿真时,当多个输入信号在同一时刻处同时发生变化时,此时电路存在竞争,会有冒险,故从仿真图上可以看到毛刺。

数电实验报告

《数字电子技术》 实验报告 姓名:*** 班级:****888 学号:2014*******8 指导老师:**** 编制时间:2016.06.10 北京联合大学

实验一基本集成逻辑门电路功能分析 一、实验目的 1.理解TTL和CMOS普通门电路的参数含义。 2.掌握TTL和CMOS普通门电路的使用方法。 3.掌握分析普通门电路逻辑功能的一般方法。 4.理解TTL和CMOS普通门电路参数的一般分析方法。 二、实验元器件 双四输入与非门 74LS00×1片 六反相器 74LS04×1片 电阻 300Ω×1只 三、实验内容 (一)TTL双四输入与非门74LS00功能分析 (1)逻辑功能分析 参考图1.1连接电路。一只74LS00芯片中含有四个相同的2输入与非门,可以随意选用,此处选用的是第一个门电路。检查电路无误时方可通电。 图1.1 与非门逻辑功能测试电路

变换单刀双掷开关J1和J2的状态,用直流电压表测试电路的输出电压,将测试结果记入表1.1中。 表1.1 (2)电压传输特性分析 依照图1.3编辑电路。在0~5V间逐步调整输入的直流电压,将随之变化的输出电压记入表1.2中。 U1A 图1.3 分析与非门电压传输特性仿真电路 表1.2

实验二组合逻辑电路分析与设计 一、实验目的 1.掌握SSI组合电路的基本设计方法。 2.掌握SSI组合电路的基本分析方法。 3.了解排除组合电路故障的一般方法。 二、实验元器件 四异或门 74LS86×1片 双四输入与非门 74LS00×1片 六反相器 74LS04×1片 电阻 300Ω×4只 发光二极管 4只 三、实验内容 (一)分析“三个开关控制一盏灯”电路 根据图2.1所示的引脚接线图连接实验电路。74LS86中有四个异

数电实验报告(含实验内容)

数电实验报告(含实验内容) 班级:专业:姓名:学号:实验一用与非门构成逻辑电路 一、实验目的 1、熟练掌握逻辑电路的连接并学会逻辑电路的分析方法 2、熟练掌握逻辑门电路间的功能变换和测试电路的逻辑功能 二、实验设备及器材 KHD-2 实验台 集成 4 输入2 与非门74LS20 集成 2 输入4 与非门74LS00 或CC4011 三、实验原理 本实验用的逻辑图如图 2-1 所示 图1-1 图1-1 四、实验内容及步骤 1、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-1中,并说明该电路的逻辑功能。 2、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-2中,并说明该电路的逻辑功能。 3、用与非门实现以下逻辑函数式,测试其逻辑功能,

将结果填入表1-3中。 Y(A,B,C)=A’B+B’C+AC 班级:专业:姓名:学号:五、实验预习要求 1、进一步熟悉 74LS00、74LS20 和CC4011 的管脚引线 2、分析图 1-1 (a)、的逻辑功能,写出逻辑函数表达式,并作出真值表。 六、实验报告 1、将实验数据整理后填入相关的表格中 2、分别说明各逻辑电路图所实现的逻辑功能 A B C Z A B C Y 表1-1 表1-2 A B C Y 表1-3 班级:专业:姓名:学号:实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的设计与测试方法 2、进一步熟悉常用集成门电路的逻辑功能及使用 二、实验设备及器材 KHD-2 实验台 4 输入2 与非门74LS20 2 输入4 与非门74LS00 或CC4011

三、实验原理 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路的设计方式。设计组合电路的一般步骤如图2-1 所示。 图 2-1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 四、实验内容及步骤 1、用与非门设计一个数码转换电路,将一个三位二进制码转换成3 位格雷码。即当输入信号为三位二进制代码时其输出为相应的3 位格雷码。要求: 1)分析逻辑功能,作出真值表,写出逻辑表达式。 班级:专业:姓名:学号: 2)简化逻辑表达式,画出逻辑图 3)按逻辑图连接逻辑电路并测试其逻辑功能。 2、用与非门设计一个一位的数值比较器,即比较两个1 位的二进制数A、B 的大小,假定当A>B 时,1 号灯亮,AB 时,1 号灯亮,A

数电实验报告汇总

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

输入输出 A B C Y1 Y2 0 0 0 0 0 0 0 1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 0 0 1 1 1 0 1 1 0 0 1 0 1 0 (5)实验过程及实验图: 1)连线图: 2)实验图:

(6)实验总结: 用两片74ls00芯片可实现如图电路功能 2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 输入端A 0 1 0 1 B 0 0 1 1 输出端Y 0 1 1 0 Z 0 0 0 1 (3)实验过程及实验图:1)管脚图:

直流电桥实验报告汇总.

清 华 大 学 实 验 报 告 系别:机械工程系 班号:72班 姓名:车德梦 (同组姓名: ) 作实验日期 2008年 11月 5日 教师评定: 实验3.3 直流电桥测电阻 一、实验目的 (1)了解单电桥测电阻的原理,初步掌握直流单电桥的使用方法; (2)单电桥测量铜丝的电阻温度系数,学习用作图法和直线拟合法处理数据; (3)了解双电桥测量低电阻的原理,初步掌握双电桥的使用方法。 (4)数字温度计的组装方法及其原理。 二、实验原理 1. 惠斯通电桥测电阻 惠斯通电桥(单电桥)是最常用的直流电桥,如图是它的电路原理图。 图中1R 、2R 和R 是已知阻值的标准电阻,它们和被测电阻x R 连成一个四边形,每一条边称作电桥的一个臂。对角A 和C 之间接电源E ;对角B 和D 之间接有检流计G ,它像桥一样。若调节R 使检流计中电流为零,桥两端的B 点和D 点点位相等,电桥达到平衡,这时可得 x R I R I 21=, 1122I R I R = 两式相除可得 R R R R x 1 2 = 只要检流计足够灵敏,等式就能相当好地成立,被测电阻值x R 可以仅从三个标准电阻

的值来求得,而与电源电压无关。这一过程相当于把x R 和标准电阻相比较,因而测量的准确度较高。 单电桥的实际线路如图所示: 将2R 和1R 做成比值为C 的比率臂,则被测电阻为 CR R x = 其中12R R C =,共分7个档,0.001~1000,R 为测量臂,由4个十进位的电阻盘组 成。图中电阻单位为Ω。 2. 铜丝电阻温度系数 任何物体的电阻都与温度有关,多数金属的电阻随文的升高而增大,有如下关系式: )1(0t R R R t α+= 式中t R 、0R 分别是t 、0℃时金属丝的电阻值;R α是电阻温度系数,单位是(℃-1 )。严格 地说,R α一般与温度有关,但对本实验所用的纯铜丝材料来说,在-50℃~100℃的范围内R α的变化很小,可当作常数,即t R 与t 呈线性关系。于是 t R R R t R 00 -= α 利用金属电阻随温度变化的性质,可制成电阻温度计来测温。例如铂电阻温度及不仅准确度高、稳定性好,而且从-263℃~1100℃都能使用。铜电阻温度计在-50℃~100℃范围内因其线性好,应用也较广泛。 3. 双电桥测低电阻 用下图所示的单电桥测电阻时,被测臂上引线1l 、2l 和接触点1X 、2X 等处都有一定

继电保护实验报告(完整版)

报告编号:YT-FS-8685-31 继电保护实验报告(完整 版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

继电保护实验报告(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 电流方向继电器特性实验 一、实验目的 1、了解继电器的結构及工作原理。 2、掌握继电器的调试方法。 二、构造原理及用途 继电器由电磁铁、线圈、Z型舌片、弹簧、动触点、静触点、整定把手、刻度盘、轴承、限制螺杆等组成。 继电器动作的原理:当继电器线圈中的电流增加到一定值时,该电流产生的电磁力矩能够克服弹簧反作用力矩和摩擦力矩,使Z型舌片沿顺时针方向转动,动静接点接通,继电器动作。当线圈的电流中断或减小到一定值时,弹簧的反作用力矩使继电器返回。利用连接片可将继电器的线圈串联或并联,再加上改变

调整把手的位置可使其动作值的调整范围变更四倍。 继电器的内部接线图如下:图一为动合触点,图二为动断触点,图三为一动合一动断触点。 电流继电器用于发电机、变压器、线路及电动机等的过负荷和短路保护装置。 三、实验内容 1. 外部检查 2. 内部及机械部分的检查 3. 绝缘检查 4. 刻度值检查 5. 接点工作可靠性检查 四、实验仪器 1、微机保护综合测试仪 2、功率方向继电器 3、DL-31 型电流继电器 4、电脑、导线若干。 五、实验步骤 1、外部检查 检查

数字电路全部实验汇总

数字电路全部实验汇总

数字电子技术 实验报告 实验一门电路逻辑功能及测试 (1) 实验二数据选择器与应用 (4) 实验三触发器及其应用 (8) 实验四计数器及其应用 (11) 实验五数码管显示控制电路设计 (17) 实验六交通信号控制电路 (19) 实验七汽车尾灯电路设计 (25) 班级:12电

实验一门电路逻辑功能及测试 一、实验目的: 1.加深了解TTL逻辑门电路的参数意义。 2.掌握各种TTL门电路的逻辑功能。 3.掌握验证逻辑门电路功能的方法。 4.掌握空闲输入端的处理方法。 二、实验设备: THD—4数字电路实验箱,数字双踪示波器,函数信号发射器,74LS00二输入端四与非门,导线若干。 三、实验步骤及内容: 1.测试门电路逻辑功能。 选用双四输入与非门74LS00一只,按图接线,将输入电平按表置位,测输出电平 用与非门实现与逻辑、或逻辑和异或逻辑。用74LS00实现与逻辑。 用74LS00实现或逻辑。用74LS00实现异或逻辑。 2.按实验要求画出逻辑图,记录实验结果。 3.实验数据与结果 将74LS00二输入端输入信号分别设为信号A、B 用74LS00实现与逻辑 =?逻辑电路如下: AB AB 1

1 2 3 74LS00AN4 5 6 74LS00AN A B A端输入TTL门信号,B端输入高电平,输出波形如下: A端输入TTL门信号,B端输入低电平,输出波形如下: 1、用74LS00实现或逻辑 11 A B A B A B +=?=???逻辑电路如下

1 2 3 74LS00AN4 5 6 74LS00AN 9 10 8 74LS00AN c U1 A B A端输入TTL门信号,B端输入高电平,输出波形如下: A端输入TTL门信号,B端输入低电平,输出波形如下: 2、用74LS00实现异或逻辑 A B AB BA AB BA ABB ABA ⊕=+=?=?

数电实验报告

计算机逻辑基础实验报告 姓名: 学号: 班级: 时间:

实验一译码器的设计及应用实验 1.实验目的:学习译码器的设计方法及应用。 2.实验内容: 1).具体内容: 用2片3-8译码器74138构成4-16译码器。 2)所用器件的功能简述: 如下图所示,本实验用到74138译码器,74138译码器是双3线-8线译码器: 输入端:A B C(C为高位,A为低位),有3个使能输入端G1, G2AN,G2BN,当G2AN=G2BN=0,且G1=1时,译码器才能正 常工作,否则译码器处于禁止状态,所有输入端为高电平。 3)电路原理图: 在Max+plus II Baseline 10.0中实现为:其中 A B C为数据的输入端,C为高位。 3.实验结果: 1)功能仿真结果:

由仿真结果分析电路结果是对的。 2)将相关电路下载到实验箱上验证: 根据控制按钮输入的数值,译码器输出端接的相应的交通灯能正确地显示出译码结果与真值表的相应值能够一一对应。 实验二加法器的设计及应用实验 1.实验目的:学习加法器的设计及全加器的应用 2.实验内容:利用全加器7483或74283及其它门电路设计一个电路:四位二进制加法或减法器。 1).具体内容: 用4*2选1数据选择器74157和4位全加器7483,构成4位二进制加/减法器。 2)所用器件的功能简述: 本实验主要用到7483全加器(如右图所示),用于计算输入的两个数 的加减法,其中C0为进位输入(可以利用它实现选择加法或者减法的 功能)。 A4 A3 A2 A1从高位到低位表示被加数或者被减数, B4 B3 B2 B1从高位到低位表示加数或者减数, S4 S3 S2 S1从高位到低位表示计算的结果。 C4表示向高位进位的输出端。 实验中还用到74157数据选择器(如右图所示)用于实现根据做加法 或者减法的不同实现做减法时对减数的取反,并通过全加器的进位输 入端实现对减数的取补,进而完成减法。 SEL 为地址码输入端, A1 B1;A2 B2;A3 B3;A4 B4;为四个二选一数据选择器, GN为使能控制端,低电平有效, Y1 Y2 Y3 Y4 为4个选择器的输入端

数电实验报告

电子技术实验 数字部分

实验一组合逻辑电路分析实验内容一: VCC 5V J1 Key = A J2 Key = B J3 Key = C J4 Key = D U1A 74LS00D U1B 74LS00D U1C 74LS00D 1 2 3 4 5 6 X1 2.5 V 7 VCC 将上图的逻辑关系记录在表1中 A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1

实验内容二: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,接通警铃。分析密码ABCD 是什么? X12.5 V X22.5 V VCC 5V U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D 34 6 VCC 5 7 8 9 10111 212 A B C D ABCD=1001

实验二 组合逻辑实验(一)半加器和全加器 一.实验目的 1.熟悉门电路设计组合逻辑电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤 2. 复习二进制数的运算 3. 利用下列元器件完成: ① 完成用异或门、与或非门、与非门设计全加器的逻辑图。 ② 完成用异或门设计三变量判奇电路的原理图 三.实验内容 1. 用异或门、与或非门、与非门组成全加器 U1A 74LS86D U1B 74LS86D U2A 74LS51D 8 1121391011 U3A 74LS00D 4 VDD 5V J1 Key = Space J2Key = Space J3 Key = Space 35 VDD X1 2.5 V X2 2.5 V 6 7 1 8 实验结果填入下表中: 被加数A i 0 1 0 1 0 1 0 1 加数B i 0 0 1 1 0 0 1 1 前级进位C i-1 0 0 0 0 1 1 1 1 和S i 0 1 1 0 1 0 0 1 新进位C i 0 1 1 1 1

数电实验实验报告

dry

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路74LS20集成电路 四2输入与非门双4输入与非门 二.实验内容 1.实验一 X1 2.5 V A B C D U1A 74LS00N U2A U3A 74LS00N 逻辑指示灯:灯亮表示“1”,灯灭表示“0” ABCD按逻辑开关,“1”表示高电平,“0”表示低电平 自拟表格并记录: A B C D Y A B C D Y 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 0 1 0 1 0 0 0 0 1 1 1 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 0 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 1 1 0 1 0 1 1 1 1 1 1 1 1 1 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,

开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD是什么? A B C D ABCD接逻辑电平开关。 最简表达式为:X1=AB’C’D 密码为:1001 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片,和使用仿真软件来设计和构造逻辑电路来求解。 实验二组合逻辑实验(一)半加器和全加器 一.实验目的 1.熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1.复习用门电路设计组合逻辑电路的原理和方法步骤。 2.复习二进制数的运算。 3.用“与非门”设计半加器的逻辑图。 4.完成用“异或门”、“与或非”门、“与非”门设计全加器的逻辑图。 5.完成用“异或”门设计的3变量判奇电路的原理图。

数电实验报告汇总

实验2组合逻辑电路(半加器全加器及逻辑运算) 、实验目的 1. 掌握组合逻辑电路的功能测试。 2. 验证半加器和全加器的逻辑功能。 3. 学会二进制数的运算规律。 、实验仪器及材料 1. Dais 或XK 实验仪 一台 2. 万用表 一台 3. 器件: 74LS00 三输入端四与非门 3片 74LS86 三输入端四与或门 1片 74LS55 四输入端双与或门 1片 三、预习要求 1. 预习组合逻辑电路的分析方法。 2. 预习用与非门和异或门构成的半加器、全加器的工作原理。 3. 学习二进制数的运算。 四、实验内容 ⑴用2片74LS00 组成图2 — 1所示逻辑电路。为便于接线和检查,在图中要注明芯 片编号及各 引脚对应的编号。 ⑵图中A 、B 、C 接电平开关,Y1、Y2接发光管显示。 ⑶按表2 — 1要求,改变A 、B 、C 的状态填表并写出 Y1、Y2逻辑表达式。 ⑷将运算结果与实 1.组合逻辑电路功能测试。 图2 — 1

验比较。

输 入 输 出 A B C Y1 Y2 0 0 0 0 0 0 0 1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 0 0 1 1 1 0 1 1 0 0 1 1 : 1 )连线图: Yl = A^B = A + B Y2 = A^B^B^C = AB + BC 2 )实验图: Vac 14 13 12I 11 74LSOO — ---- -_— 10 Vcc 14i 13 74LSOO ■ M B A A, Y2 1 I GND 9 5 4 GN D R 11 10 ft fc 3

数字电路实训心得体会

数字电子技术实验总结心得 数字电子技术是一门理论与实践密切相关的学科,如果光靠理论,我们就会学的头疼,如果借助实验,效果就不一样了,特别是数字电子技术实验,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在数字电子技术实验中,我们可以根据所给的实验仪器、实验原理和一些条件要求,设计实验方案、实验步骤,画出实验电路图,然后进行测量,得出结果。 在数字电子技术实验的过程中,我们也遇到了各种各样的问题,针对出现的问题我们会采取相应的措施去解决,比如: 1、线路不通——运用逻辑笔去检查导线是否可用; 2、芯片损坏——运用芯片检测仪器检测芯片是否正常可用以及它的类型; 3、在一些实验中会使用到示波器,这就要求我们能够正确、熟悉地使用示波器,通过学习我们学会了如何调节仪器使波形便于观察,如何在示波器上读出相关参数,如在最后的考试实验《555时基电路及其应用》中,我们能够读出多谐振荡器的tpl、tph和单稳态触发器的暂态时间tw,还有有时是因为接入线的问题,此时可以通过换用原装线来解决。 同时,我们也得到了不少经验教训: 1、当实验过程中若遇到问题,不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。 此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。 2、在实验过程中,要学会分工协作,不能一味的自己动手或是自己一点也不参与其中。 3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。 数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。通过在数字电子技术实验中的实践,我收获了许多! 自动0906 裘日辉 1091250131篇二:数电设计实验心得体会 数电设计实验心得体会 通过本学期的数字电路理论学习让我对数字电路原理有了一定的了解,而通过数字电路设计让我对数字电路有了进一步的了解,并在实验过程中逐渐学会了将理论与实际相结合。通过自己所学的理论与实际生活中遇到的小问题和小玩具相结合完成了本次数字电路设计。如四位密码锁,四人抢答器都是我们生活中遇到的小问题以前一直在作观看者和使用者,而在这次设计过程中我们作了创造者,让我们看到了自己学习的成果加强了对理论知识的消化理解。而简易电子琴则是生活中的小玩具,让我们觉得很神奇的东西,通过本次设计让我对其有了深刻的理解。也将促使我对生活中其他的电子设备进一步探索,发现他们的神奇之处。此外通过本次设计也发现了自己很多不足,如在制作前只是画出原理图,没有进行合理的布局造成最后电路不够美观,还有就是对各种芯片的使用有了更多的了解,也发现了理论与实际应用还是有一定的不同的。总的来说通过本次设计让我收获了很多,让我对以前学过的知识得以掌握,对未学到的知识也有了一定的了解。篇三:数字电子技术开放实验的心得体会数字电子技术开放实验的心得体会 渤海大学物理系 03级1班洪许海

数电实验报告最终版

数字系统设计基础实验报告 学院:计算机学院 班级:031014班 姓名:陈强 学号:03101327

实验一基本逻辑门电路实验 一、实验目的 1.掌握TTL与非门,与或非门和异或门输入与输出之间的逻辑关系。 2.熟悉TTL中,小规模集成电路的外形,管脚和使用方法。 二、实验所用器件 1.二输入四与非门74LS00 1片 2.二输入四或非门74LS02 1片 三、实验内容 1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2.测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验接线图及实验测试 1.测试74LS00逻辑关系

结论:一个与非门当两个输入为高电平时输出为低电平,其它输入时输出为高电平。 2.测试74LS86逻辑关系 结论: 为低电平。 实验二组合逻辑电路部件试验 一. 实验目的: 1、掌握逻辑电路设计的基本方法; 2、掌握EDA软件工具MAX—PlusII的原理图输入方法; 3、掌握MAX—PlusII的逻辑电路编译、波形仿真的方法 二.实验内容: 1、内容:3-8译码器(74LS138)的波形仿真 器件:3-8译码器

3-8译码器原理图 3-8译码器波形图 2、设计一个2-4译码器(功能要求见真值表)

表中E 为允许使能输入端,A1、A2为译码器输入,Q0、Q1、Q2、Q3分别为输出,∮是任意状态。 2-4译码器原理图 2-4译码器波形图 3、设计并实现一个4位二进制全加器

(1)二进制全加器原理: 两个n位二进制数相加的加法运算电路是由一个半加器和(n-1)个全加器组成。它把两个n位二进制数(A,B)分别作为输入信号。产生一个(n+1)位二进制数作它的和数(Cn-1,S)。一个n位二进制加法器的方框图如下图所示。图中A和B是用来相加的两个n位输入信号,Cn-1,Sn-1,Sn-2,……S2,S1,S0是它们的和数。在该电路中对A0和B0相加用一个半加器,对其它位都用全加器。如果需要,串接这些电路以扩充相加的为数,那么它的第一级也必须是全加器。下图表示用全加器实现的加法器电路。 二进制加 法器原理图 … 下面是全加器的n位二进制加法原理图: Bn-1 Bn-2 B1 A1 B0 A0 (2)实验步骤: ①设计1位二进制全加器,其逻辑表达式如下: Sn=An○+Bn○+Cn-1 Cn=AnB0+Cn-1(An○+Bn) An是被加数, Bn是加数,Sn是和数,Cn是向高位的进位,Cn-1是低位的进位。 ②利用1位二进制全加器构成一个4位二进制全加器,进行仿真。设计的原理图如下:

数电实验报告

计数器及其应用设计 一、用74ls192构成一个三进制同步加法计数器并测试逻辑功能 根据资料我们可知74ls192是一款10进制可逆计数器,带有异步清零和同步置数功能。 这里我们采用0111,1000,1001,这三种状态实现三进制计数,并用CO端的进位输出信号实现反馈置数。A、B、C、D的反别解低电平、低电平、低电平、高电平。并将减计数信号输入端接高电平。 1 2、根据状态图画出电路图: 3、仿真波形如下图:

波形图中由上到下分别代表加计数输入脉冲CP、D、C、B、A;由波形图可以看出其实现了三进制加计数。 二、用74ls192设计8x3的模24计数器 根据要求,我们用两片74ls192来设计该款计数器,低位片为3进制计数,高位片为8进制计数,低位片的进位输出作为高位片的计数脉冲输入,低位片的进位输出信号还接到其自身同步置数端高位片的,而高位片的QD 端反向后接其同步置数端。其连接电路图如下图,其中开关K用作初始置数用。 其仿真波形如下图: 5V

波形图中由上到下分别是计数脉冲CP、低位片的D、C、B、A,高位片的 D、C、B、A。由波形图可以看出该电路为8x3的模24计数器。得出对应表格 如下: 三、用74ls192设计8x3的模24计数器

根据要求,我们用两片74ls192来设计该款计数器,低位片为6进制计数,高位片为4进制计数,低位片的进位输出作为高位片的计数脉冲输入,低位片的进位输出信号还接到其自身同步置数端高位片的,而高位片的QC端反向后接其同步置数端。其连接电路图如下图,其中开关K用作初始置数用。 5V 其仿真波形如下图: 波形图中由上到下分别是计数脉冲CP、低位片的D、C、B、A,高位片的D、C、B、A。由波形图可以看出该电路为4x6的模24计数器。得出对应表格如下:

相关文档