文档库 最新最全的文档下载
当前位置:文档库 › 2012五邑大学数字电路与逻辑试卷B答案

2012五邑大学数字电路与逻辑试卷B答案

2012五邑大学数字电路与逻辑试卷B答案
2012五邑大学数字电路与逻辑试卷B答案

命题人: 张京玲 审核人: 试卷分类(A 卷或B 卷) B

五邑大学 试 卷

学期: 2012 至 2013 学年度 第 1 学期 课程:

数字电路与逻辑设计 课程代号: 005A1690

使用班级:

信息工程学院10级

姓名: 学号:

题号 一 二 三 四 五 六 七 总分 得分

一、 填空题(每题2分,共16分)

1、 触发器 是组成寄存器和移位寄存器的基本单元电器,一个n 位的数码寄存器需由 n 个触发器组成。

2、时序逻辑电路按照其触发器是否有统一的时钟控制分为 同步 时序电路和 异步 时序电路。

3、8位D/A 转换器当输入数字量10000000时为5V 。若只有最低位为高电平,则输出电压为 0.039 V ;若输入为10001000,则输出电压为 5.312 V 。

4、已知原函数为

C

A C

B A F ++=1 ,则它的反函数为

C A C B A ?+)(

5、施密特触发器有两个 稳定 状态;单稳态触发器有一个 稳定 状态和 暂 态;多谐振荡器只有两个 暂 态。

6、利用卡诺图化简法化简逻辑函数时,两个相邻项合并,消去一个变量,四个相邻项合并,消去 两 个变量等。一般来说,2n 个相邻一方格合并时,可消去 n 个变量。

7、将模拟信号转换为数字信号,需要经过 采样 、 保持 、 量化 、 编码 四个过程。

8、一个同步RS 触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是 SR=0 。

二、 单项选择题(每小题1分,共8分)

1、若在编码器中有50个编码对象,则要求输出二进制代码位数为( B )位。 A 、5 B 、6 C 、10 D 、50

2、某RAM 有8位数据线、13位地址线,则其存储容量为( B )。 A 、4KB B 、 8KB C 、16KB D 、 64KB

3、以下各电路中,( B )可以完成延时功能。

A 、多谐振荡器

B 、单稳态触发器

C 、施密特触发器

D 、石英晶体多谐振荡器 4、下面几种逻辑门中,可以用作双向开关的是( A )。

A 、CMOS 传输门

B 、OD 门 C、异或门 D 、三态门

得分 试卷编号

得分

5、利用异步清零端构成N 进制加法计数器,则应将( A )所对应的状态译码后驱动清零控制端。

A 、N

B 、N -1

C 、N+1

D 、0 6、555定时器的阈值为( C )。

A 、1/3VCC

B 、2/3VC

C C 、1/3VCC 和2/3VCC

D 、1/3VCC 和VCC 7、OC 门在使用时须在( B )之间接一个电阻。

A 、输出与地

B 、输出与电源

C 、输出与输入

D 、输入与电源

8、欲将容量为128×8的RAM 扩展为1024×8,则需要控制各片选端的辅助译码器的输出端数为( D )。

A 、1

B 、2

C 、3

D 、8

三、 判断题,如果错误在括号内画“ ”,正确画“ √ ”(每小题1分,共8分)

) 1、若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。 (

) 2、时序逻辑电路不含有记忆功能的器件。 ( √ ) 3、共阴接法发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来

驱动。

( ) 4、已知逻辑函数AB=AC ,则B=C 。 ( ) 5、优先编码器的编码信号是相互排斥的,不允许多个编码信号同时有效。 ( √ ) 6、普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。 ( ) 7、D 触发器的特性方程为Q n+1=D ,与Q n 无关,所以它没有记忆功能。 ( √ ) 8、若两个函数具有相同的真值表,则两个逻辑函数必然相等。

四、

简答题(每题6分,共24分)

1、如图1所示各门电路均为 74 系列 TTL 电路,分别指出电路的输出状态(高电平、低

电平或高阻态)

1

k Ω10k Ω10VIL

Y1

(1(

1Ω5VIH

Y2

(2(

(((1

1Ω5VIH (3(

Y3

≥1

&

EN

答案:Y1输出低电平(2分);Y2输出高电平(2分);Y3输出高组态(2分)

2、用卡诺图化简法化简下列式:

∑=)14,11,10,9,8,6,4,3,2,1,0(),,,(m D C B A Y 答案:''''B CD D A Y

++=

(1)填写“1”,2分;

(2)画圈,2分; 得分 得分

????

?

?

000111100001111AB CD

1

1

1

3、如图2所示电路,(1)试分析CB555构成的是什么电路?(2)若要求扬声器在开关S 闭合后,持续响33s ,试确定电阻R 的阻值。

答案:(1)CB555构成的是单稳态触发器。(3分) (2)根据s RC t W 331.1==(1分)得 可得Ω==M 31.1C

t R W

(2分))。

1

5

32

6

784

CB555V

5CC =V C

S

μF

01.0R

Ω

k 20μF

10)1(μF

100

图2

4、图3电路是可变进制计数器。试分析当控制变量A 为1和0时电路各为几进制计数器,并画出状态转换表。

图3

状态表(3分)

由状态表可知,A =0为10进制计数器, A =1为12进制计数器(3分)

EP

ET

CLK

D 0D 1D 2D 3

R D

LD C

Q 1Q 2Q 3

Q 074LS161&

1

≥1

1A

Y

进位输出

CLK

A =03Q 2Q 1Q 0Q 00000000101000011001010100110110100010

1

1

计数

脉冲顺序12345678910A =13Q 2Q 1

Q 0Q

00000001010000110010101001101101000101100101

五、 图4是由3线-8线译码器74LS138和门电路构成的电路,试写出P 1和P 2的表达式,列出真值表,说明其逻辑功能。 (10分)

P 1

P2

Y 0

Y 1Y 2Y 3Y 4Y 5Y 6Y 7A 0

A 1

A 2S 1

S 2

S 3

74LS138

A

B C 1

1

图4

[答案]

(1)写出输出的表达式,即 P 1=∑m(0,7)=

+ABC (2分)

P 2=∑m(1,2,3,4,5,6)= +BC+(3分)

(2)真值表如表所列。(3分)

A B C P 1 P 2 0 0 0 1 0 0 0 1 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1 0

(3)该电路为一致性判别电路,当A 、B 、C 相同时,P 1=1;不同时P 2=1。(2分)

得分

)

( b S 1 1

X 1 0 X 1 1 0

组合的最小项)

为 注: 0 1 2 ( A A A m i 的真值表 138 74 LS )

( a 3 2 S S ' + ' i Y ' i m

'

六、 三相步进马达正转时,三相绕A 、B 、C 的信号顺序通电100→110→010

→011→001→101→100。同时,三相绕组在任何时候都不允许同时通电或断电。试用边沿D 触发器设计一个控制步进马达正转的三相脉冲分配电路(1)画出状态转换表或者状态转换图;(2)画出次态卡诺图;(3)写出状态方程;(4)写出驱动方程;(5)验证能否自启动。(18分)

[答案]

(1)状态转换图或表为:(4分)

110

010

011

001Q A Q B Q

C

101100

(2)次态卡诺图为:(4分)

01011011Q A

Q B Q C

00011100001101110XXX XXX 010

111+++n C

n B

n A

Q

Q

Q

01

011011Q A

Q B Q C

0001

011

X X

1+n A

Q 01

011011Q A

Q B Q C

0010

001

X X

1

1

+n B

Q 01

011011Q A

Q B Q C

00

10

110

X X

1+n C

Q

(3)状态方程:(3分)

B n A Q Q =+1

C n B Q Q =+1 A n C Q Q =+1

(4)驱动方程:(3分)与D 触发器的状态方程D Q n i =+1比较,得驱动方程: B A Q D = C B Q D = A C Q D = (5) 由状态方程,有:(2分)

000 111 111 000 故不可自启动。

(6)修改任意一个状态方程:(2分)

C A B C B A n A Q Q Q Q Q Q Q ++=+1

有: 000 011 111 100

控制状态与初态 次 态

1 0 0

1 1 0 1 1 0 0 1 0 0 1 0 0 1 1 0 1 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 0 0 0 0 X X X 1 1 1 X X X

得分

七、

某实验室用两个灯显示三台设备的故障情况,当一台设备有故障时黄灯亮;当两台设备同时有故障时红灯亮;当三台设备同时有故障时黄、红两灯都亮。请设计该逻辑电路。(14分)

[答案]

解:1. 根据逻辑问题找出输入变量和输出变量,并列出真值表。(4分)

在题所述逻辑问题中,可确定A、B、C为输入变量,它们代表三台设备的故障情况,并设定:有故障时,对应逻辑“1”;无故障时,对应逻辑“0”。确定L

1

、L

2

为输出变量,它们分别表示黄灯和红灯的亮、灭情况,我们设定:灯亮时,对应逻辑“1”;灯灭时,对应逻辑“0”。

3. 由真值表写出逻辑表达式(2分),并化简(4分)。

用卡诺图法化简L

2

:将真值表中的函数值填入卡诺图(a),并化简。可直接得到最简表达式:

L

2

=AB+BC+AC

若采用与非门实现,则应将函数转换为与非-与非式:(2分)

4. 根据表达式画出逻辑电路如图解(b)所示。(2分)

(a) (b)

A B C L1 L2

0 0 0 0 0

0 0 1 1 0

0 1 0 1 0

0 1 1 0 1

1 0 0 1 0

1 0 1 0 1

1 1 0 0 1

1 1 1 1 1

得分

c语言-往年试卷题型_五邑大学

五邑大学考试试题 未经允许,不能转载 一、单选题 1.设有int x=9; 则表达式( 1/3 * ++ x ) 的值是_____________。 A) 3 B) 0C) 9 D) 10 2.设ch是char类型的变量,其值为A,且有下面的表达式 ch=(ch>='A'&&ch<='Z')?(ch+32):ch 上面表达式的值是_____________。 A) A B) a C) Z D) z 3.设p1和p2是指向同一个int型一维数组的指针变量,k为int型变量,则不能正确执行的语句是。 A) p1=p2; B) p2=k; C) k=*p1+*p2; D) k=*p1 * (*p2); 4.请选出可用作C语言用户标识符的一组标识符_______________。 A) float B) for C) a3_b3D) 3a define _Abc _123 DO int temp TEMP sizeof 5.以下叙述中不正确的是。 A) 在不同的函数中可以使用相同名字的变量 B) 函数中的形式参数是局部变量 C) 在一个函数内定义的变量只在本函数范围内有效 D) 在一个函数内的复合语句中定义的变量在本函数范围内有效 6.执行下面的程序段后 int k=3, s[2]; s[0]=k; k= s[1]*10; 变量k中的值为_____________。 A) 10 B) 30 C) 33 D) 不定值 7. 若声明和定义了一个结构体类型的数据如下: struct student { int num;

现欲输入结构体成员分量num的值,下面函数调用中正确的是。 A) scanf(“%d”,student); B) sca nf(“%d”,&student.num); C) scanf(“%d”,&num); D) scanf(“%d”,&stu_1.num); 8. 若已定义x为int 类型变量,则下面说明指针变量p的语句_____________是正确的。 A) int p=&x ; B) int *p=x; C) int *p=&x; D) *p=*x; 9.若程序中定义了以下函数 float myadd(float a, float b) { return (a+b);} 并将其放在调用语句之后,则在调用之前应该对该函数进行说明,以下选项中错误的说明是。 A) float myadd(float, float); B) float myadd(float a,b); C) float myadd(float a, float b); D) float myadd(float x, float y); 10.有以下程序,执行后结果是。 #include char fun(char x , char y) { if(x0&&b>0____________。 3.以下程序执行后的输出结果是_________________。 #include void main() { int a=4,b=5,c=0,d; d=!a&&!b||!c; printf("%d\n",d); } 4.以下程序执行后的输出结果是_______________。 #include void main() { char a[10]={'1','2','3','4','5','6','7','8','9','\0'},*p;

数字电路试卷与答案

电子科技大学二零零六至二零零七学年第二学期期末考试 试卷评分基本规则 数字逻辑设计及应用课程考试题中文A卷(120分钟)考试形式:闭卷考试日期2007年7月日课程成绩构成:平时20 分,期中20 分,实验0 分,期末60 分 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑(1)电平或者输入信号连接端上。 2、DAC的功能是将(数字)输入成正比地转换成模拟输出。 512 EPROM可存储一个(9 )输入4输出的真值表。 3、4 4、74X163的RCO输出有效条件是:仅当使能信号(ENT)有效,并且计数器的状态是15。 5、已知二进制原码为( 001101) 2 , 问对应的8-bit的补码为( 00001101)2. 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有(B)个。 A. 2 B. 3 C. 4 D. 5 2、以下描述一个逻辑函数的方法中( C)只能唯一表示。 A.表达式 B.逻辑图 C.真值表 D.波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A. 状态数目更多 B. 状态数目更少 C. 触发器更多 D. 触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为(D)。 A. 2 B. 3 C. 4 D.5 5、下列各逻辑函数式相等,其中无静态冒险现象的是(D)。 A. F=B’C’+AC+A’B B. F=A’C’+BC+AB’ C. F=A’C’+BC+AB’+A’B D. F=B’C’+AC+A’B+BC+AB’+A’C’

微机系统与维护-试题与答案2012.1

微机系统与维护试题第 页(共 3 页) 试卷代号 2493 座位号 中央广播电视大学2011—2012学年度第一学期“开放专科”期末考试(开卷) 微机系统与维护 试题 2012年1月 一、单项选择题 (将一个正确的答案代码填入括号中,每小题2分,共30分) 1. 一个硬盘最多能够分成的主分区个数为( A )。 A. 4 B. 3 C. 2 D. 1 2. 随机存储器的英文缩写为( B )。 A. PROM B. RAM C. EPROM D. ROM 3. 计算机的五大基本部件是运算器、控制器、存储器、输入设备和输出设备,其中由运 算器和控制器组成的微机部件是( A )。 A. 中央处理器 B. 主机 C. 主频

D.北桥 4.不是主机箱内部必备的部件是(D )。 A.主板 B.CPU C.内存 D.Modem卡 5.下列不可能是硬盘接口的是(A )。 A . PCI B . IDE C . SCSI D. IEEE1394 6.(A )不是微机的主要性能指标。 A.显示器分辨率 B.CPU型号 C.主频 D.内存容量 7.以下属于系统软件的是( C )。 A.QQ B.Office 2003 C.Linux D.WinRAR 8.对Windows XP操作系统进行更新时,以下方法不正确的是(D )。 A.购买操作系统更新安装盘 B.在网上下载补丁程序,然后进行安装 C.利用Windows Update进行更新 D.利用原安装盘中相关选项进行更新 9.为了解决CPU和内存之间的速度匹配问题,通常采用的办法是在CPU和内存之间增 加一个(A )。 A.高速缓存B.光盘 C.辅助存储器D.辅助软件 微机系统与维护试题第页(共3 页)

五邑大学试卷_C语言程序设计_信息学院各专业_A卷

选择题(30%:15小题,每小题2分) 1. 以下叙述正确的是()。 A) 在C程序中,main函数必须位于程序的最前面。 B) C语言的每一行中只能写一条语句。 C) C语言本身没有输入输出语句。 D) 一个程序的执行是从本程序的main函数开始,到本程序文件的最后一个函数结束。 2. 若有说明:int a[10]; 则对 a 数组元素的正确引用是()。 A) a[10] B) a[3.5] C) a(5) D) a[10-10] 3. 下面关于"A"的说法正确的是()。 A)它代表一个字符常量 B)它代表一个字符串常量 C)它代表一个字符 D)它代表一个变量 4. 若用数组名作为函数调用的实参,传递给形参的是( )。 A)数组的首地址 B)数组第一个元素的值 C)数组全部元素的值 D)数组元素的个数 5. 以下选项中属于C语言数据类型的是()。 A)复数型 B)记录型 C)双精度型 D)集合型 6. 设 a=5,b=6,c=7,d=8,m=2,n=2, 则执行 (m=a>b)&&(n=cb)?a:b); 则输出结果是 ( )。

9. 可判断变量 a为正,b为负的正确表达式是 ( )。 A) a*b <0 B) (a>0||b >0)&&a*b <0 C) (a<0||b<0)&&a*b <0 D) a>0&&a*b<0 10. 在C语言中要求参加运算的数必须是整数的运算符是()。 A) / B) ! C) % D) = = 11. 下列程序段中while循环体执行的次数是()。 int k=0; while(k=1) k++; A)一次也不执行 B)只执行一次 C)有语法错,不能执行 D)无限次 12. 若有以下说明,且0≤i<10,则对数组元素的错误引用是()。 int a[]={1,2,3,4,5,6,7,8,9,10},*p=a,i; A) *(a+i) B) p+i C) a[p-a+i] D) *(&a[i]) 13.有定义语句: int b; char c[10]; 则正确的输入语句是 ( )。 A) scanf("%d%s",&b,&c); B) scanf("%d%s",&b,c); C) scanf("%d%s",b,c); D) scanf("%d%s",b,&c); 14. 设有如下定义: struct sk { int a; float b; }data; int *p; 若要使p指向data中的a域,正确的赋值语句是( )。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数字逻辑 习题与答案.(优选)

习题与答案 《数字逻辑与数字系统(第四版)》,白中英 第1章习题P30 7 证明下列等式 (2) AC AB C AB C B A ABC +=++ 证明: AB AC ABC C AB C B A ABC +=+++=左式 8 用布尔代数简化下列各逻辑函数表达式 (4) C AB C B BC A AC F +++= 解: BC BC BC A C B A BC C B A BC A ABC C B A C B BC A AC F =+=++=+++=++++=) () )(())()(( 9 将下列函数展开为最小项表达式 (1) )(),,(C B A C B A F += 解: ∑=+++++=+++++=+++=+++=+=() 7,6,5,4,1()()()()(),,(m C B A C B A C B A C B A C AB ABC C B A C B A C C B A C C AB C B A C B A B A AB C B A A B B A C B A C B A F 10 用卡诺图化简下列各式 (2) C B A D A B A D C AB CD B A F ++++= 解: C 由卡诺图知,D A B A F +=

(4) ∑∑ +=?)11,10,9,3,2,1()15,14,13,0(),,,(m D C B A F 解: A C AD AC B A F ++= 12 逻辑函数 A C C B B A X ++=,试用真值表、卡诺图、逻辑图、波形图表示该函数。 解:(1)真值表 (2)卡诺图 (3)逻辑图 (4)波形图 14 输入信号A ,B ,C 的波形如图P1.2所示,试画出电路输出F1、F2的波形图 B F C B A

《计算机网络》试卷及答案

2011-2012学年第一学期期末考试试题(B)卷课程名称《计算机网络》任课教师签名 出题教师签名2011计算机合作联盟命题组审题教师签名 考试方式(闭)卷适用专业09级计算机各专业 考试时间(110 )分钟 (注:判断题和选择题的答案写在答题纸上) 一、单项选择题(每小题2分,共40分) 1.在ISO/OSI参考模型中,网络层的主要功能是()。 A.提供可靠的端到端服务,透明地传送报文 B.路由选择、拥塞控制与网络互连 C.在通信实体之间传送以帧为单位的数据 D.数据格式变换、数据加密与解密、数据压缩与恢复 2.计算机网络的目标是实现()。 A.数据处理 B.信息传输与数据处理 C.文献查询 D.资源共享与信息传输 3.在常用的传输介质中,10Base5使用的传输介质是()。 A.粗缆 B.双绞线 C.光纤 D. 细缆 使用()进行流量控制。 次握手法 B.窗口控制机制 C.自动重发机制 D.端口机制 5.数据传输速率是指() A.每秒传输的字节数 B.每秒信号变化的次数 C.每秒传输的周期数 D.每秒传输的比特数 6. 链路和数据链路的区别是()。 A.没有区别,只是一个实体的两种说法 B.前者可以有中间节点,后者不能有中间节点 C.前者是一个物理概念,后者是一个逻辑概念 D.前者需要软件支持,后者不需要 7.下面IP地址不属于B类IP地址的是()。 A.B. C.D.对千兆以太网和快速以太网共同特点的描述中,哪种说法是错误的() A.相同得数据帧格式 B.相同的物理层实现技术 C.相同的组网方法 D.相同的介质访问控制方法 9.以下()动态路由协议是属于外部网关协议EGP。 D.静态路由 10.下列哪项不是UDP协议的特性() A.提供可靠服务 B.提供无连接服务 C.提供端到端服务 D.提供全双工服务 11.下面哪一个标准以太网物理地址是正确的() 采用脉码调制(PCM)方法对声音信号进行编码,若采样频率为6000次/秒,量化级为256级,那么数据传输率要达到()

数字电路试卷标准答案

标准答案及评分标准 课程名称:数字电路 适用专业(班级): 课程归属:理工学科部 是否可携带(填写计算器、词典等):计算器 开卷、闭卷:闭卷 学科部主任: 出卷人: ―――――――――――――――――――――――――――――――――― 一.选择题(每小题2分,共20分) 1.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 2.若输入变量A 、B 全为1时,输出F=1,则其输入与输出的关系是 【 B 】 A.异或 B.同或 C.或非 D.与或 3.在下列逻辑电路中,不是组合逻辑电路的是 【 D 】 A. 译码器 B. 加法器 C. 编码器 D.寄存器 4.一个8选一的数据选择器,其地址输入(选择控制输入)端的个数是 【 C 】 A.4 B.2 C.3 D.16 5.最小项ABCD 的逻辑相邻最小项是 【 A 】 A. ABCD B. ABCD C. ABCD D. ABCD 6.同步计数器和异步计数器比较,同步计数器的最显著优点是 【 A 】 A .工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP 控制 7.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 8.组合逻辑电路通常由【 】组合而成。 【 B 】 A.触发器 B.门电路 C.计数器 D.锁存器 9.8线—3线优先编码器的输入为I0—I7 ,当优先级别最高的I7有效时,其输出0 12Y Y Y ??的值是 【 C 】 A.111 B.010 C.000 D.101 10.逻辑表达式A +B C = 【 C 】 A.A+B B. A+C C.(A+B )(A+C ) D.B+C

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、()8 =( )16 2、 10= ( )2 3、(FF )16= ( 255 )10 4、[X]原=,真值X= ,[X]补 = 。 5、[X]反=,[X]补= 。 6、-9/16的补码为,反码为 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。 4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分)

5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分) 解:XABC C B A X ABC X C B A X C B A X C B A X F ++++?+?= 4分 )()(ABC C B A X C B A X F ++⊕⊕= 4分 所以:X=0 完成判奇功能。 X=1 完成逻辑一致判断功能。 2分

计算机组成原理试卷A卷答案

华侨大学2012—2013学年第一学期期末考试 《计算机组成原理》考试试卷(A卷) 学院课程名称考试日期 姓名专业学号 一、选择题(本大题共15小题,每小题2分,共30分)) 1、完整的计算机系统应包括_______。 A.CPU和主存B.外部设备和主机 C.主机和实用程序D.配套的硬件系统和软件系统 2、有些计算机将一部分软件永恒地存于只读存储器中,称之为_______。 A.硬件B.软件C.固件D.辅助存储器 3、冯·诺依曼计算机中指令和数据均以二进制形式存放在存储器中,CPU区分它们的依据是_______。 A.指令操作码的译码结果B.指令和数据的寻址方式 C.指令周期的不同阶段D.指令和数据所在的存储单元 4、浮点数加减运算过程一般包括对阶、尾数运算、规格化、舍入和溢出判断等步骤。设浮点数的阶码和尾数都采用补码表示,且位数分别为5位和7位(均含2位符号位)。若有两个数X=27*29/32、Y=25*5/8,则浮点加法计算X+Y的最终结果是_____。 A.00111 1100010 B.00101 0001000 C.01000 0010001 D.发生溢出 5、下列关于RISC的叙述中,错误的是_______。 A.RISC普遍采用微程序控制器 B.RISC大多数指令在一个时钟周期内完成 C.RISC的内部通用寄存器数量相对CISC多 D.RISC的指令数、寻址方式和指令格式种类相对CISC少 6、在微机中,系统日期、硬盘参数与计算机配置信息等均存储在_______。 A.Cache B.ROM C.EPROM D.CMOS 7、相对于微程序控制器,硬布线控制器的特点是_______。 A.指令执行速度慢,指令功能的修改和扩展容易 B.指令执行速度慢,指令功能的修改和扩展困难 C.指令执行速度快,指令功能的修改和扩展容易 D.指令执行速度快,指令功能的修改和扩展困难 8、下列有关RAM和ROM的叙述中,正确的是_______。 ① RAM是易失性存储器,ROM是非易失性存储器 ② RAM和ROM都是采用随机存取方式进行信息访问 ③ RAM和ROM都可用做Cache ④ RAM和ROM都需要进行刷新 A.仅①②B.仅②③C.仅①②③D.仅②③④

数字电路与逻辑设计试卷(有答案)

数字电路与逻辑设计(A 卷) 班级 学号 姓名 成绩 一.单项选择题(每题1分,共10分) 1.表示任意两位无符号十进制数需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码10001000对应的2421码为( )。 A .01010101 B.10000101 C.10111011 D.11101011 3.补码1.1000的真值是( )。 A . +1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++?+=的反函数为( )。 A. E )]E D (C C [A F ?++= B. E )E D (C C A F ?++= C. E )E D C C A (F ?++= D. E )(D A F ?++=E C C 6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。 图1 A. 或非门 B. 与非门 C. 异或门 D. 同或门 8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。 A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。 A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。 A .2 B. 3 C. 4 D. 5 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记

数字逻辑试题与答案(独家)

一、单项选择题 1、触发器有两个稳态,存储8位二进制信息要_ A、2 B、8 C、16 D、32 2、下列门电路属于双极型的是_ A、OC门 B、PMOS C、NMOS D、CMOS 3对于钟控RS触发器,若要求其输出“0”状态不变,则输入的RS信号应为_ A、RS=XD B、RS=OX C、RS=X1 D、RS=1X 4、下列逻辑电路中为时序逻辑电路的是_ A、变量译码器 B、加法器 C、数码存储器 D、数据选择器 5、同步时序电路和异步时序电路比较,其差异在于后者_ A、没有触发器 B、没有统一的时钟脉冲控制 C、没有稳定状态 D、输出只与内部状态有关 6、要构成容量为4K*8的RAM,需要_片容量为256*4的RAM。 A、2 B、4 C、8 D、32 7、一个容量为1K×8的存储器有个存储单元。 A.8 B.8K C.8000 D.8190 8、寻址容量为16K×8的RAM需要根地址线。 A.4 B.8 C.14 D.16 E.16K 9、若R A M的地址码有8位,行、列地址译码器的输入端都为4个,则它们的输 出线(即字线加位线)共有条。 A.8 B.16 C.32 D.256 二、多项选择 10、逻辑变量的取值1和0可以表示_ A开关的组合,断开B、电位的高低 C、真与假 D、电流的有,无 11、在何种输入情况下,“或非”运算的结果是逻辑0__ A、全部输入是0 B、全部输如是1 C、任一输入为0,其他输入为1 D、任一输入为1 12、三态门输出高阻状态时,__是正确的说法。 A、用电压表测量指针不动 B、相当于悬空 C、电压不高不低C、测量电阻指针不动 13、下列触发器中,克服了空翻现象的有_ A、边沿D触发器 B、主从RS触发器 C、同步RS触发器 D、主从JK触发器 三、判断题 14、8421码1001比0001大。 15、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 16、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 17、优先编码器的编码信号时相互排斥的,不允许多个编码信号同时有效。 18、若两个函数具有相同的真值表,则两个逻辑函数必然相等。 19、D触发器没有记忆功能。 四、填空题 20、可以用____檫除EPROM中所存的信息。

2012年安徽省专升本计算机试题及答案

2012年专升本计算机考试试题 一、单项选择(下列每小题的选项中,只有一项是最准确的,请将表示该选项的字母写在 答题纸上相应的位置。共60小题,每小题1分,共60分) 1.下列选项中____D____不是计算机的基本输入输出设备。 A.键盘 B.鼠标 C.显示器 D.主板 2.某同学到电脑商城组装一台pc机,这台pc机属于__A______。 A.微型计算机 B.小型计算机 C.中型计算机 D.大型计算机 3.下列关于计算机系统的描述,错误的选项是__B______。 A.计算机系统由硬件系统和软件系统组成 B.计算机系统由主板、CPU、存储器组成 C.计算机系统的整体性能受多种因素影响 D.硬件是计算机系统的物理基础 4.微机的工作过程就是执行指令的过程,该过程首先进行的操作是__A______。 A.取指令 B译码 C执行指令 D输出指令 5.在选购微机时,一般应选择性价比高的产品,下列选项中,___D_____不能决定微机系统的性能 A时钟频率 B总线宽度 C存储容量 D耗电量 6.下列选项中,__A______属于系统软件 A编译软件 B QQ软件 C字处理软件D游戏软件 7.某同学用计算机书写求职报告,这属于计算机在___B_____方面的应用 A科学计算 B数据处理C过程控制 D人工智能 8.下列关于微机存储器的叙述,错误的选项是____D____ A存储器的容量影响微机的性能 B内存储器可分为RAM和ROM C CPU通过I/O接口访问外存储器 D Cache不能加快信息的交换速度 9.某同学购买的硬盘容量为500GB,这里的B指__B______ A位 B 字节 C字长 D频率 10.在当前微机市场中,主流CPU的时钟频率在____B____左右。 A.3THz B.3GHz C.3MHz D.3KHz 11.按照字符的ASCII码大小排序,下列选项正确的是__B______。 A.”5”<”a”<”A” B. ”5”<”A”<”a” C. ”A”<”a”<”5” D. ”a”<”A”<”5” 12.下列用不同进制表示的数值中最大的是___D_____。 A.(1110)2 B.(1110)8 C. (1110)10 D. (1110)16 13.为了解决汉字的显示和输出问题,需要对汉字进行编码,这种编码称为汉字的___C_____码。 A.国标 B.机内 C.字形 D.输入 14.计算机在工作时突然断电,存储在硬盘上的数据___B_____。 A.完全丢失 B.不会改变 C.突然增加 D.突然减少 15.现代计算机的基本工作原理是__C______。 A.二进制存储 B.摩尔定律 C.程序存储与控制 D.过程控制 16.微机的开机自检程序一般存储在___B_____中。(BIOS中) A.RAM B.ROM C.CD D.DVD

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数字逻辑试卷及答案

数字逻辑试卷及答案 TTA standardization office【TTA 5AB- TTAK 08- TTA 2C】

《数字电子技术基础》期终考试试题(110分钟)一、填空题:(每空1分,共15分) =+的两种标准形式分别为()、 1.逻辑函数Y A B C ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量为5v。若只有最低位为高电平,则输出电压为 ()v;当输入为,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数 P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。(6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1: 地址输入数据输出 A3 A2 A1 A0 D3 D2 D1 D0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0

全国自考2012年10月计算机网络管理试卷及详细答案

全国2012年10月自学考试计算机网络管理试题 课程代码:02379 请考生按规定用笔将所有试题的答案涂、写在答题纸上。 选择题部分 注意事项: 1. 答题前,考生务必将自己的考试课程名称、姓名、准考证号用黑色字迹的签字笔或钢笔填写在答题纸规定的位置上。 2. 每小题选出答案后,用2B铅笔把答题纸上对应题目的答案标号涂黑。如需改动,用橡皮擦干净后,再选涂其他答案标号。不能答在试题卷上。 一、单项选择题(本大题共20小题,每小题2分,共40分) 在每小题列出的四个备选项中只有一个是符合题目要求的。错选、多选或未选均无分。 1.下面属于网络监视功能的是d p9 A.配置管理 B.安全管理 C.加密控制 D.故障管理 2.OSI系统管理中,管理信息的表示方式是c A.由标量组成的表 B.关系数据库 C.对象数据库 D.文件 3.破坏计算机网络可用性的安全威胁是a p18 A.中断 B.窃取 C.窜改 D.假冒 4.描述MIB-2规范的RFC文档是d p68 p21 A.RFC1155 B.RFC1157 C.RFC1212 D.RFC1213 5.在下面集合中有三个元素,一个是本人名字,一个是父亲名字,另一个是母亲名字。Parentage∶∶=SET{ SubjectName[1]IMPLICIT IA5String, MotherName[2]IMPLICIT IA5String OPTIONAL, 1

FatherName[3]IMPLICIT IA5String OPTIONAL} 为这三个元素使用的标签[1][2][3]是 c p28 A.通用标签 B.应用标签 C.上下文标签 D.私有标签 6.下面哪种网络协议与IP协议同属于网络层,用于传送有关通信问题的消息?a p61 A.ICMP B.UDP C.TCP D.SNMP 7.在MIB的对象类型定义中,表示实现是否支持这种对象的关键成分是c p48 A.SYNTAX B.ACCESS C.STA TUS D.DesctPart 8.在对象标识树中,SNMP操作可以访问的是a p75 A.叶子结点 B.根结点 C.全部中间结点 D.部分中间结点 9.存储管理信息库静态信息的是d p7 A.事件变量 B.统计数据库 C.状态变量 D.配置数据库 10.SNMPv2引入了信息模块的概念,用于说明一组定义,以下不属于 ...这种模块的是c p93 A.MIB模块 B.MIB的依从性声明模块 C.管理能力说明模块 D.代理能力说明模块 11.SNMPv2报文结构中,版本号要代表SNMPv2,那么它的值应是b p98 A.0 B.1 C.2 D.3 12.SNMPv2增加的管理站之间的机制是哪种网络管理所需要的功能特征?c A.集中式 B.分散式 C.分布式 D.独立式 13.按照预定的格式准备要发送的报文,或者从接收的报文中提取数据。在SNMP引擎中,实现这一功能的是b p106 A.调度器 B.报文处理子系统 C.安全子系统 D.访问控制子系统 14.在RMON MIB中,存储以固定间隔取样所获得的子网数据的是b p127 2

五邑大学单片机试卷

单片机二五邑大学信息学院 一、填空题(30分)(每空1分) 1)8051系列单片机中,片内数据存储区一共分为3个区,这3个区分别 为:;;。2)8K╳8位的存储器共有地址线根。 3)3 — 8译码器74LS138在全译码寻址方式中,译码器的输出端通常与单片机接口芯片的端连接。 4)当单片机接到外设的中断申请时,单片机响应中断,单片机将暂 停的执行,转去执行程序,执行完,再执行 程序。 5)8051单片机的中断源 有:,,, ,。 6) 单片机P0口为总线和总线的复用 端口,74LS373与P0口连接,其输出线作为系统的,74LS373的G端与单片机连接。 7) 当单片机接到外设的中断申请时,单片机响应中断,单片机将暂 停执行,转去执行程序,执行 完,再执行 程序。 8) 已知程序执行前有A=01H,SP=52H,(51H)=FFH,(52H)=FFH。下述程序执行后: POP DPH POP DPL MOV DPTR,#3000H RL A MOV B,A MOVC A,@A+DPTR PUSH A

MOV A,B INC A MOVC A,@A+DPTR PUSH A RET ORG 3000H DB 10H,80H,30H,80H,50H,80H 请问:A=(),SP=(),(51H)=(),(52H)=(),PC=()。 9) 如果DPTR=507BH,SP=32H,(30H)=50H,(31H)=5FH,(32H)=3CH,则执行下列指 令后: POP DPH POP DPL POP SP 则:DPH=(),DPL=(),SP=() 10) 假定A=83H,(R0)=17H,(17H)=34H,执行以下指令: ANL A,#17H ORL 17H,A XRL A,@R0 CPL A 后,A的内容为()。 二、简答题(20分) 1)单片机的复位(RST)操作有几种方法,复位功能的主要作用。(5分) 2)编制中断服务程序时,为什么在主程序的初始化程序中,必须设置 SETB EA 这条指令,以及在中断服务程序中为什么通常需要保护现场和恢复现场? 3)中断服务子程序返回指令RETI和普通子程序返回指令RET有什么区别? 三、判断下列指令的正误:(10分) 1)MOV 28H,@R2 ()2)DEC DPTR () 3)INC DPTR () 4)MOV C,30H ()

(完整版)数字电路自试题3答案

数字电路自测题3答案 一、填空题:(每空1分,共20分) 1.八进制数 (34.2 ) 8 的等值二进制数为 11100.01 ;十进制数 98 的 8421BCD 码为 10011000 。 2.试写出下列图中各门电路的输出分别是什么状态 (高电平、低电平) ?(其中(A )(B )为TTL 门电路,而(C )为CMOS 门电路) (A ) (B ) (C ) Y 1= 02 Y 2= 1 Y 3= 1 3.一个 JK 触发器有 2 个稳态,它可存储 1 位二进制数。 4. 单稳态触发器 有一个稳定状态和一个暂稳状态。 施密特触发器 有两个稳定状态、有两个不同的触发电平,具有回差特性。 多谐振荡器 没有稳定状态,只有两个暂稳态。以上三种电路均可由 555定时器 外接少量阻容元件构成。 5.常用逻辑门电路的真值表如右图所示,则 F 1 、F 2 、F 3 分别属于何种常用逻辑门。F 1 同或 ,F 2 与非门 ,F 3 或非 。 6.OC 门的输出端可并联使用,实现__线与____功能;三态门的输出状态有______0________、 1 、 高阻 三种状态。 7.时序逻辑电路的输出不仅和____输入 ___有关,而且还与___电路原来状态____有关。 二、选择题: (选择一个正确答案填入括号内,每题2分,共20分 ) 1.在四变量卡诺图中,逻辑上不相邻的一组最小项为:( D ) A .m 1 与m 3 B .m 4 与m 6 C .m 5 与m 13 D .m 2 与m 8 2.L=AB+C 的对偶式为:( B ) A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

相关文档