文档库 最新最全的文档下载
当前位置:文档库 › EDA实验报告_7段数码显示译码器设计

EDA实验报告_7段数码显示译码器设计

EDA实验报告

课程名称:EDA技术实验实验名称:7段数码显示译码器设计

班级:姓名:

指导老师评定:签名:

一、实验目的

设计一个7段数码显示译码器设计。

二、实验仪器

计算机一台,U盘一个,QuartusⅡ软件

三、实验步骤

1. 新建一个文件夹YHY,打开QuartusⅡ软件,选择菜单File→New,在弹出的New 对话框中选择Device Design File页的原理图文件编辑器输入项VHDL File(如图一),按OK按钮打开VHDL编辑器窗口。

2. 在VHDL编辑器窗口输入7段数码显示译码器的VHDL描述:

IBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY DECL7S IS

PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0) );

END;

ARCHITECTURE ONE OF DECL7S IS

BEGIN

PROCESS(A)

BEGIN

CASE A IS

WHEN "0000"=>LED7S<="0111111";WHEN "0001"=>LED7S<="0000110";

WHEN "0010"=>LED7S<="1011011";WHEN "0011"=>LED7S<="1001111";

WHEN "0100"=>LED7S<="1100110";WHEN "0101"=>LED7S<="1101101";

WHEN "0110"=>LED7S<="1111101";WHEN "0111"=>LED7S<="0000111";

WHEN "1000"=>LED7S<="1111111";WHEN "1001"=>LED7S<="1101111";

WHEN "1010"=>LED7S<="1110111";WHEN "1011"=>LED7S<="1111100";

WHEN "1100"=>LED7S<="0111001"; WHEN "1101"=>LED7S<="1011110";

WHEN "1110"=>LED7S<="1111001"; WHEN "1111"=>LED7S<="1110001";

WHEN OTHERS=>NULL;

END CASE;

END PROCESS;

END;

3. 编译并运行,观察其波形输出(如下图)。

4. 打开Fil e→Create/Update→Create Symbol files for current file(如下图)。

5.打开FILE——NEW,新建一个BLOCK DIAGRAM/SCHEMA TIC FILE文件,单击SYMBOL TOOL 按钮,在弹出的对话框中选中PROJECT下的ADDER8,即调出其原理图(如下图所示)。

四、实验分析与总结

1. 根据7段数码显示译码器的波形图知,实验结果正确,设计成功。

2. 通过此次实验,进一步熟悉了7段数码显示译码器的VHDL描述方法。对VHDL的使

用有了更深入的了解。

相关文档
相关文档 最新文档