文档库 最新最全的文档下载
当前位置:文档库 › 确保信号完整性的高速PCB电路板设计准则(1)

确保信号完整性的高速PCB电路板设计准则(1)

确保信号完整性的高速PCB电路板设计准则(1)
确保信号完整性的高速PCB电路板设计准则(1)

确保信号完整性的高速PCB电路板设计准则(1)

引言:

对于高速PCB设计,国外有很多经典文章,我个人觉得这些文章让我受益匪浅,现特摘出供大家参考。针对文章中的一些高速PCB设计相关内容如果大家有疑问或者兴趣我们可以详细讨论。

************************************************************

转载:确保信号完整性的电路板设计准则

信号完整性(SI)问题解决得越早,设计的效率就越高,从而可避免在电路板设计完成之后才增加端接器件。SI设计规划的工具和资源不少,本文探索信号完整性的核心议题以及解决SI问题的几种方法,在此忽略设计过程的技术细节。

SI问题的提出

设计前的准备工作

电路板的层叠

串扰和阻抗控制

重要的高速节点

技术选择

预布线阶段

布线后SI仿真

后制造阶段

模型的选择

未来技术的趋势

作者:JonPowell

SI问题的提出

随着IC输出开关速度的提高,不管信号周期如何,几乎所有设计都遇到了信号完整性问题。即使过去你没有遇到SI问题,但是随着电路工作频率的提高,今后一定会遇到信号完整性问题。

信号完整性问题主要指信号的过冲和阻尼振荡现象,它们主要是IC驱动幅度和跳变时间的函数。也就是说,即使布线拓扑结构没有变化,只要芯片速度变得足够快,现有设计也将处于临界状态或者停止工作。我们用两个实例来说明信号完整性设计是不可避免的。

实例之一:在通信领域,前沿的电信公司正为语音和数据交换生产高速电路板(高于500MHz),此时成本并不特别重要,因而可以尽量采用多层板。这样的电路板可以实现充分接地并容易构成电源回路,也可以根据需要采用大量离散的端接器件,但是设计必须正确,不能处于临界状态。

SI和EMC专家在布线之前要进行仿真和计算,然后,电路板设计就可以遵循一系列非常严格的设计规则,在有疑问的地方,可以增加端接器件,从而获得尽可能多的SI安全裕量。电路板实际工作过程中,总会出现一些问题,为此,通过采用可控阻抗端接线,可以避免出现SI问题。简而言之,超标准设计可以解决SI问题。

实例之二:从成本上考虑,电路板通常限制在四层以内(外面两层分别是电源层和接地层)。这极大限制了阻抗控制的作用。此外,布线层少将加剧串扰,同时信号线间距还必须最小以布放更多的印制线。另一方面,设计工程师必须采用最新和最好的CPU、内存和视频总线设计,这些设计就必须考虑SI问题。

关于布线、拓扑结构和端接方式,工程师通常可以从CPU制造商那里获得大量建议,然而,这些设计指南还有必要与制造过程结合起来。在很大程度上,电路板设计师的工作比电信设计师的工作要困难,因为增加阻抗控制和端接器件的空间很小。此时要充分研究并解决那些不完整的信号,同时确保产品的设计期限。下面介绍设计过程通用的SI设计准则。

设计前的准备工作

在设计开始之前,必须先行思考并确定设计策略,这样才能指导诸如元器件的选择、工艺选择和电路板生产成本控制等工作。就SI而言,要预先进行调研以形成规划或者设计准则,从而确保设计结果不出现明显的SI问题、串扰或者时序问题。有些设计准则可以由IC制造商提供,然而,芯片供应商提供的准则(或者你自己设计的准则)存在一定的局限性,按照这样的准则可能根本设计不了满足SI要求的电路板。如果设计规则很容易,也就不需要设计工程师了。

在实际布线之前,首先要解决下列问题,在多数情况下,这些问题会影响你正在设计(或者正在考虑设计)的电路板,如果电路板的数量很大,这项工作就是有价值的。

电路板的层叠

某些项目组对PCB层数的确定有很大的自主权,而另外一些项目组却没有这种自主权,因此,了解你所处的位置很重要。与制造和成本分析工程师交流可以确定电路板的层叠误差,这时还是发现电路板制造公差的良机。比如,如果你指定某一层是50Ω阻抗控制,制造商怎样测量并确保这个数值呢?

其他的重要问题包括:预期的制造公差是多少?在电路板上预期的绝缘常数是多少?线宽和间距的允许误差是多少?接地层和信号层的厚度和间距的允许误差是多少?所有这些信息可以在预布线阶段使用。

根据上述数据,你就可以选择层叠了。注意,几乎每一个插入其他电路板或者背板的PCB都有厚度要求,而且多数电路板制造商对其可制造的不同类型的层有固定的厚度要求,这将会极大地约束最终层叠的数目。你可能很想与制造商紧密合作来定义层叠的数目。应该采用阻抗控制工具为不同层生成目标阻抗范围,务必要考虑到制造商提供的制造允许误差和邻近布线的影响。

在信号完整的理想情况下,所有高速节点应该布线在阻抗控制内层(例如带状线),但是实际上,工程师必须经常使用外层进行所有或者部分高速节点的布线。要使SI最佳并保持电路板去耦,就应该尽可能将接地层/电源层成对布放。如果只能有一对接地层/电源层,你就只有将就了。如果根本就没有电源层,根据定义你可能会遇到SI问题。你还可能遇到这样的情况,即在未定义信号的返回通路之前很难仿真或者模拟电路板的性能。

串扰和阻抗控制

来自邻近信号线的耦合将导致串扰并改变信号线的阻抗。相邻平行信号线的耦合分析可能决定信号线之间或者各类信号线之间的“安全”或预期间距(或者平行布线长度)。比如,欲将时钟到数据信号节点的串扰限制在100mV以内,却要信号走线保持平行,你就可以通过计算或仿真,找到在任何给定布线层上信号之间的最小允许间距。同时,如果设计中包含阻抗重要的节点(或者是时钟或者专用高速内存架构),你就必须将布线放置在一层(或若干层)上以得到想要的阻抗。

重要的高速节点

延迟和时滞是时钟布线必须考虑的关键因素。因为时序要求严格,这种节点通常必须采用端接器件才能达到最佳SI质量。要预先确定这些节点,同时将调节元器件放置和布线所需要的时间加以计划,以便调整信号完整性设计的指标。

技术选择

不同的驱动技术适于不同的任务。信号是点对点的还是一点对多抽头的?信号是从电路板输出还是留在相同的电路板上?允许的时滞和噪声裕量是多少?作为信号完整性设计的通用准则,转换速度越慢,信号完整性越好。50MHz时钟采用500ps上升时间是没有理由的。一个2-3ns的摆率控制器件速度要足够快,才能保证SI的品质,并有助于解决象输出同步交换(SSO)和电磁兼容(EMC)等问题。

在新型FPGA可编程技术或者用户定义ASIC中,可以找到驱动技术的优越性。采用这些定制(或者半定制)器件,你就有很大的余地选定驱动幅度和速度。设计初期,要满足FPGA(或ASIC)设计时间的要求并确定恰当的输出选择,如果可能的话,还要包括引脚选择。

在这个设计阶段,要从IC供应商那里获得合适的仿真模型。为了有效的覆盖SI仿真,你将需要一个SI仿真程序和相应的仿真模型(可能是IBIS模型)。

最后,在预布线和布线阶段你应该建立一系列设计指南,它们包括:目标层阻抗、布线间距、倾向采用的器件工艺、重要节点拓扑和端接规划。

预布线阶段

预布线SI规划的基本过程是首先定义输入参数范围(驱动幅度、阻抗、跟踪速度)和可能的拓扑范围(最小/最大长度、短线长度等),然后运行每一个可能的仿真组合,分析时序和SI仿真结果,最后找到可以接受的数值范围。

接着,将工作范围解释为PCB布线的布线约束条件。可以采用不同软件工具执行这种类型的“清扫”准备工作,布线程序能够自动处理这类布线约束条件。对多数用户而言,时序信息实际上比SI结果更为重要,互连仿真的结果可以改变布线,从而调整信号通路的时序。

在其他应用中,这个过程可以用来确定与系统时序指标不兼容的引脚或者器件的布局。此时,有可能完全确定需要手工布线的节点或者不需要端接的节点。对于可编程器件和ASIC来说,此时还可以调整输出驱动的选择,以便改进SI设计或避免采用离散端接器件。

声明:此资源由本人收集整理于网络,只用于交流学习,请勿用作它途。如有侵权,请联系,删除处理。

今天终于弄懂了PCB高速电路板设计的方法和技巧

[讨论]今天终于弄懂了PCB高速电路板设计的方法和技巧受益匪浅啊 电容, 最大功率, 技巧 高速电路设计技术阻抗匹配是指负载阻抗与激励源内部阻抗互相适配,并且得到最大功率输出的一种工作状态。高速PCB布线时,为了防止信号的反射,要求线路的阻抗为50Ω。这是个大约的数字,一般规定同轴电缆基带50Ω,频带75Ω,对绞线则为100Ω,只是取整数而已,为了匹配方便。根据具体的电路分析采用并行AC端接,使用电阻和电容网络作为端接阻抗,端接电阻R要小于等于传输线阻抗Z0,电容C必须大于100pF,推荐使用0.1UF的多层陶瓷电容。电容有阻低频、通高频的作用,因此电阻R不是驱动源的直流负载,故这种端接方式无任何直流功耗。 串扰是指当信号在传输线上传播时,因电磁耦合对相邻的传输线产生不期望的电压噪声干扰。耦合分为容性耦合和感性耦合,过大的串扰可能引起电路的误触发,导致系统无法正常工作。根据串扰的一些特性,可以归纳出几种减小串扰的方法: 1、加大线间距,减小平行长度,必要时采用jog 方式布线。 2、高速信号线在满足条件的情况下,加入端接匹配可以减小或消除反射,从而减小串扰。 3、对于微带传输线和带状传输线,将走线高度限制在高于地线平面范围要求以内,可以显著减小串扰。 4、在布线空间允许的条件下,在串扰较严重的两条线之间插入一条地线,可以起到隔离的作用,从而减小串扰。传统的PCB设计由于缺乏高速分析和仿真指导,信号的质量无法得到保证,而且大部分问题必须等到制版测试后才能发现。这大大降低了设计的效率,提高了成本,在激烈的市场竞争下显然是不利的。于是针对高速PCB设计,业界人士提出了一种新的设计思路,成为“自上而下”的设计方法,经过多方面的方针分析和优化,避免了绝大部分可能产生的问题,节省了大量的时间,确保满足工程预算,产生高质量的印制板,避免繁琐而高耗的测试检错等。利用差分线传输数字信号就是高速数字电路中控制破坏信号完整性因素的一项有效措施。在印制电路板(PCB抄板)上的差分线,等效于工作在准TEM模的差分的微波集成传输线对。其中,位于PCB顶层或底层的差分线等效于耦合微带线,位于多层PCB内层的差分线,等效于宽边耦合带状线。数字信号在差分线上传输时是奇模传输方式,即正负两路信号的相位差是180,而噪声以共模的方式在一对差分线上耦合出现,在接受器中正负两路的电压或电流相减,从而可以获得信号消除共模噪声。而差分线对的低压幅或电流驱动输出实现了高速集成低功耗的要求。

高速数字信号的信号完整性分析

科研训练 设计题目:高速数字信号的信号完整性分析专业班级:科技0701 姓名:张忠凯 班内序号:18 指导教师:梁猛 地点:三号实验楼236 时间:2010.9.14~2010.11. 16 电子科学与技术教研室

摘要: 在高速数字系统设计中,信号完整性(SI)问题非常重要的问题,如高时钟频率和快速边沿设计。本文提出了影响信号完整性的因素,并提出了解决电路板中信号完整性问题的方法。 关键词:高速数字电路;信号完整性;信号反射;串扰 引言: 随着电子行业的发展,高速设计在整个电子设计领域所占的比例越来越大,100 MHz 以上的系统已随处可见,采用CS(线焊芯片级BGA)、FG(线焊脚距密集化BGA)、FF(倒装芯片小间距BGA)、BF(倒装芯片BGA)、BG(标准BGA)等各种BGA封装的器件大量涌现,这些体积小、引脚数已达数百甚至上千的封装形式已越来越多地应用到各类高速、超高速电子系统中。 从IC芯片的封装来看,芯片体积越来越小、引脚数越来越多;这就带来了一个问题,即电子设计的体积减小导致电路的布局布线密度变大,同时信号的上升沿触发速度还在提高,从而使得如何处理高速信号问题成为限制设计水平的关键因素。随着电子系统中逻辑复杂度和时钟频率的迅速提高,信号边沿不断变陡,印刷电路板的线迹互连和板层特性对系统电气性能的影响也越发重要。对于低频设计,线迹互连和板层的影响可以不考虑,但当频率超过50 MHz时,互连关系必须考虑,而在评定系统性能时还必须考虑印刷电路板板材的电参数。因此,高速系统的设计必须面对互连延迟引起的时序问题以及串扰、传输线效应等信号完整性问题。 1.信号完整性的概念: 信号完整性是指信号未受到损伤的一种状态,良好的信号完整性是指在需要时信号仍然能以正确的时序和电压电平值做出响应。差的信号完整性不是由某一单一因素导致的,而是板级设计中多种因素共同引起的。 2.信号完整性问题的分析: 高速不是就频率的高低来说的,而是由信号的边沿速度决定的,一般认为上升时间小于4倍信号传输延迟时可视为高速信号。信号完整性问题的起因是由于不断缩小的上升和下降时间。假如信号的上升沿和下降沿变化比较缓慢,则电路结构和元器件所造成的影响不大,可以忽略。 当信号的上升沿和下降沿变化加快时,整个电路则会转化为传输线问题,即电路的延迟、反射等问题;当电路中有大的电流涌动时会引起地弹,如大量芯片的输出同时开启时,将有一个较大的瞬态电流在芯片与板的电源平面流过,芯片封装与电源平面的电感和电阻会引发电源噪声,这样会在真正的地平面( 0 V)上产生电压的波动和变化,犹如从地面弹回电路的信号一样;通常表现为在一根信号线上有信号通过时,在上与之

五款信号完整性仿真工具介绍

现在的高速电路设计已经达到GHz的水平,高速PCB设计要求从三维设计理论出发对过孔、封装和布线进行综合设计来解决信号完整性问题。高速PCB设计要求中国工程师必须具备电磁场的理论基础,必须懂得利用麦克斯韦尔方程来分析PCB设计过程中遇到的电磁场问题。目前,Ansoft公司的仿真工具能够从三维场求解的角度出发,对PCB设计的信号完整性问题进行动态仿真。 (一)Ansoft公司的仿真工具 现在的高速电路设计已经达到GHz的水平,高速PCB设计要求从三维设计理论出发对过孔、封装和布线进行综合设计来解决信号完整性问题。高速PCB设计要求中国工程师必须具备电磁场的理论基础,必须懂得利用麦克斯韦尔方程来分析PCB设计过程中遇到的电磁场问题。目前,Ansoft公司的仿真工具能够从三维场求解的角度出发,对PCB设计的信号完整性问题进行动态仿真。 Ansoft的信号完整性工具采用一个仿真可解决全部设计问题: SIwave是一种创新的工具,它尤其适于解决现在高速PCB和复杂IC封装中普遍存在的电源输送和信号完整性问题。 该工具采用基于混合、全波及有限元技术的新颖方法,它允许工程师们特性化同步开关噪声、电源散射和地散射、谐振、反射以及引线条和电源/地平面之间的耦合。该工具采用一个仿真方案解决整个设计问题,缩短了设计时间。 它可分析复杂的线路设计,该设计由多重、任意形状的电源和接地层,以及任何数量的过孔和信号引线条构成。仿真结果采用先进的3D图形方式显示,它还可产生等效电路模型,使商业用户能够长期采用全波技术,而不必一定使用专有仿真器。 (二)SPECCTRAQuest Cadence的工具采用Sun的电源层分析模块: Cadence Design Systems的SpecctraQuest PCB信号完整性套件中的电源完整性模块据称能让工程师在高速PCB设计中更好地控制电源层分析和共模EMI。 该产品是由一份与Sun Microsystems公司签署的开发协议而来的,Sun最初研制该项技术是为了解决母板上的电源问题。 有了这种新模块,用户就可根据系统要求来算出电源层的目标阻抗;然后基于板上的器件考虑去耦合要求,Shah表示,向导程序能帮助用户确定其设计所要求的去耦合电容的数目和类型;选择一组去耦合电容并放置在板上之后,用户就可运行一个仿真程序,通过分析结果来发现问题所在。 SPECCTRAQuest是CADENCE公司提供的高速系统板级设计工具,通过它可以控制与PCB layout相应的限制条件。在SPECCTRAQuest菜单下集成了一下工具: (1)SigXplorer可以进行走线拓扑结构的编辑。可在工具中定义和控制延时、特性阻抗、驱动和负载的类型和数量、拓扑结构以及终端负载的类型等等。可在PCB详细设计前使用此工具,对互连线的不同情况进行仿真,把仿真结果存为拓扑结构模板,在后期详细设计中应用这些模板进行设计。 (2)DF/Signoise工具是信号仿真分析工具,可提供复杂的信号延时和信号畸变分析、IBIS 模型库的设置开发功能。SigNoise是SPECCTRAQUEST SI Expert和SQ Signal Explorer Expert进行分析仿真的仿真引擎,利用SigNoise可以进行反射、串扰、SSN、EMI、源同步及系统级的仿真。 (3)DF/EMC工具——EMC分析控制工具。 (4)DF/Thermax——热分析控制工具。 SPECCTRAQuest中的理想高速PCB设计流程: 由上所示,通过模型的验证、预布局布线的space分析、通过floorplan制定拓朴规则、由规

高速PCB设计指南

高速PCB设计指南 第一篇 PCB布线 在PCB设计中,布线是完成产品设计的重要步骤,可以说前面的准备工作都是为它而做的,在整个PCB中,以布线的设计过程限定最高,技巧最细、工作量最大。PCB布线有单面布线、双面布线及多层布线。布线的方式也有两种:自动布线及交互式布线,在自动布线之前,可以用交互式预先对要求比较严格的线进行布线,输入端与输出端的边线应避免相邻平行,以免产生反射干扰。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。 自动布线的布通率,依赖于良好的布局,布线规则可以预先设定,包括走线的弯曲次数、导通孔的数目、步进的数目等。一般先进行探索式布经线,快速地把短线连通,然后进行迷宫式布线,先把要布的连线进行全局的布线路径优化,它可以根据需要断开已布的线。并试着重新再布线,以改进总体效果。 对目前高密度的PCB设计已感觉到贯通孔不太适应了,它浪费了许多宝贵的布线通道,为解决这一矛盾,出现了盲孔和埋孔技术,它不仅完成了导通孔的作用,还省出许多布线通道使布线过程完成得更加方便,更加流畅,更为完善,PCB 板的设计过程是一个复杂而又简单的过程,要想很好地掌握它,还需广大电子工程设计人员去自已体会,才能得到其中的真谛。 1 电源、地线的处理

既使在整个PCB板中的布线完成得都很好,但由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,有时甚至影响到产品的成功率。所以对电、地线的布线要认真对待,把电、地线所产生的噪音干扰降到最低限度,以保证产品的质量。 对每个从事电子产品设计的工程人员来说都明白地线与电源线之间噪音所产生的原因,现只对降低式抑制噪音作以表述: (1)、众所周知的是在电源、地线之间加上去耦电容。 (2)、尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是:地线>电源线>信号线,通常信号线宽为:0.2~0.3mm,最经细宽度可达0.05~0.07mm,电源线为1.2~2.5 mm 对数字电路的PCB可用宽的地导线组成一个回路, 即构成一个地网来使用(模拟电路的地不能这样使用) (3)、用大面积铜层作地线用,在印制板上把没被用上的地方都与地相连接作为地线用。或是做成多层板,电源,地线各占用一层。 2 数字电路与模拟电路的共地处理 现在有许多PCB不再是单一功能电路(数字或模拟电路),而是由数字电路和模拟电路混合构成的。因此在布线时就需要考虑它们之间互相干扰问题,特别是地线上的噪音干扰。 数字电路的频率高,模拟电路的敏感度强,对信号线来说,高频的信号线尽可能远离敏感的模拟电路器件,对地线来说,整人PCB对外界只有一个

SATA高速差分信号设计规则

PCB设计挑战和建议作为PC、服务器和消费电子产品中重要的硬盘驱动器接口,串行ATA(SATA)发展迅猛并日益盛行。随着基于磁盘的存储在所有电子市场领域中变得越来越重要,系统设计工程师需要知道采用第一代SATA(1.5Gbps)和第二代SATA(3.0Gbps)协议的产品设计中的独特挑战。此外,系统设计工程师还需要了解新的SATA特性,以使其用途更广,功能更强,而不仅仅是简单地代替并行ATA。充分利用这些新特性并克服设计中存在的障碍,对成功推出采用SATA接口的产品非常关键。 日趋复杂的PCB布局布线设计对保证高速信号(如SATA)的正常工作至关重要。由于第一代和第二代SATA的速度分别高达1.5Gbps和3.0Gbps,因此铜箔蚀刻线布局的微小改动都会对电路性能造成很大的影响。SATA信号的上升时间约为100ps,如此快的上升时间,再加上有限的电信号传输速度,所以即使很短的走线也必须当成传输线来对待,因为这些走线上有很大部分的上升(或下降)电压。 高频效应处理不好,将会导致PCB无法工作或者工作起来时好时坏。为保证采用FR4 PCB板的SATA设计正常工作,必须遵守下面列出的FR4 PCB布局布线规则。这些规则可分为两大类:设计使用差分信号和避免阻抗不匹配。 高速差分信号设计规则包括: 1.SATA是高速差分信号,一个SATA连接包含一个发送信号对和一个接收 信号对,这些差分信号的走线长度差别应小于5mil。使差分对的走线长度保持一致非常重要,不匹配的走线长度会减小信令之间的差值,增加误码率,而且还会产生共模噪声,从而增加EMI辐射。差分信号线对应该 在电路板表层并排走线(微带线),如果差分信号线对必须在不同的层走 线,那么过孔两侧的走线长度必须保持一致。 2.差分信号线对的走线不能太靠近,建议走线间距是走线相对于参考平面高 度的6至10倍(最好是10倍)。 3.为减少EMI,差分对的走线间距不要超过150mil。 4.SATA差分对的差分阻抗必须为100欧姆。 5.为减少串扰,同一层其它信号与差分信号线对之间的间距至少为走线相对 于参考平面高度的10至15倍。 6.在千兆位传输速度的差分信号上不要使用测试点。 避免阻抗不匹配的设计规则包括:

高速电路中的信号完整性问题

高速电路中的信号完整性问题 许致火 (07级信号与信息处理 学号 307081002025) 1 信号完整性问题的提出 一般来讲,传统的低频电路设计对于电子工程师并不是多么复杂的工作。因为在低于30MHz的系统中并不要考虑传输线效应等问题,信号特性保持完好使得系统照常能正常工作。但是随着人们对高速实时信号处理的要求,高频信号对系统的设计带来很大的挑战。电子工程师不仅要考虑数字性能还得分析高速电路中各种效应对信号原来 面目影响的问题。 输入输出的信号受到传输线效应严重的影响是我们严峻的挑战 之一。在低频电路中频率响应对信号影响很小,除非是传输的媒介的长度非常长。然而伴随着频率的增加,高频效应就显而易见了。对于一根很短的导线也会受到诸如振玲、串扰、信号反射以及地弹的影响,这些问题严重地损害了信号的质量,也就是导致了信号完整性性能下降。 2 引起信号完整性的原因 2.1 传输线效应 众所周知,传输线是用于连接发送端与接收段的连接媒介。传统的比如电信的有线线缆能在相当长的距离范围内有效地传输信号。但是高速的数字传输系统中,即使对于PCB电路板上的走线也受到传输线效应的影响。如图1所示,对于不同高频频率的PCB板上的电压分布是不同的。 图 1 PCB在不同频率上的电压波动

因为低频电路可以看成是一个没有特性阻抗、电容与电感寄生效应的理想电路。高速电路中高低电平的快速切换使得电路上的走线要看成是阻抗、电容与电感的组合电路。其等效电路模型如图2所示。导线的阻抗是非常重要的概念,一旦传输路径上阻抗不匹配就会导致信号的质量下降。 图 2 传输线等效电路模型 由图2的模型可得电报方程: 2.2 阻抗不匹配情况 信号源输出阻抗(Zs)、传输线上的阻抗(Zo)以及负载的阻抗(ZL)不相等时,我们称该电流阻抗不匹配。也这是说信号源的能量没有被负载全部吸收,还有一部分能量被反射回信号源方向了。反射后又被信号源那端反射给负载,除了吸收一部分外,剩下的又被反射回去。这个过程一直持续,直到能量全部被负载吸收。这样就会出现过冲与下冲(Overshoot/Undershoot)、振铃(ring)、阶梯波形(Stair-step Waveform)现象,这些现象的产生导致信号出现错误。 当传输媒介的特性阻抗与负载终端匹配时,阻抗就匹配了。对于PCB板来说,我们可以选取合适的负载终端策略及谨慎地选择传输介

高速PCB设计中终端匹配电阻的放置

高速PCB设计中终端匹配电阻的放置 胡为东1 (西安电子科技大学电子工程学院西安 710071) 摘要:本文简要的总结了在高速数字设计中串联终端匹配和并联终端匹配的优缺点,并对这两种匹配方式的终端匹配电阻处于不同位置时的匹配效果做了相应的仿真和深入的分析,得出了串联终端匹配电阻对位置的要求没有终端匹配电阻严格这一结论,给出了一些关于终端匹配电阻摆放位置的建议。为在PCB设计中如何放置终端匹配电阻提供了理论和实践上的指导。 关键词:并联终端匹配串联终端匹配放置 Termination Placement in High-Speed PCB Design HU Wei-dong (Electronic Engineering of Xidian University . Xi’an 710071) Abstract: This paper gives the advantages and disadvantages of the parallel and series termination in high-speed digital design. Proper simulation and deep analysis are done as terminations are located in different points. A conclusion is got that series terminated circuits are much less affected by placement compromises than parallel terminated circuits , and some suggestions are made on where termination should be located. A theoretic and practical direction is given on how to place the termination in high-speed PCB design. key words: Parallel Termination Series Termination Placement 1胡为东,男,1979年11月出生,西安电子科技大学硕士研究生。主要研究方向:高速板卡和高速PCB设计及仿真、信号完整性及电源完整性分析。

高速信号走线规则

高速信号走线规则 随着信号上升沿时间的减小,信号频率的提高,电子产品的EMI问题,也来越受到电子工程师的关注。 高速PCB设计的成功,对EMI的贡献越来越受到重视,几乎60%的EMI问题可以通过高速PCB来控制解决。 规则一:高速信号走线屏蔽规则 在高速的PCB设计中,时钟等关键的高速信号线,走需要进行屏蔽处理,如果没有屏蔽或只屏蔽了部分,都是会造成EMI的泄漏。建议屏蔽线,每1000mil,打孔接地。如上图所示。 规则二:高速信号的走线闭环规则 由于PCB板的密度越来越高,很多PCB LAYOUT工程师在走线的过程中,很容易出现这种失误,如下图所示: 时钟信号等高速信号网络,在多层的PCB走线的时候产生了闭环的结果,这样的闭环结果将产生环形天线,增加EMI 的辐射强度。 规则三:高速信号的走线开环规则 规则二提到高速信号的闭环会造成EMI辐射,同样的开环同样会造成EMI辐射,如下图所示:

时钟信号等高速信号网络,在多层的PCB走线的时候产生了开环的结果,这样的开环结果将产生线形天线,增加EMI 的辐射强度。在设计中我们也要避免。 规则四:高速信号的特性阻抗连续规则 高速信号,在层与层之间切换的时候必须保证特性阻抗的连续,否则会增加EMI的辐射,如下图: 也就是:同层的布线的宽度必须连续,不同层的走线阻抗必须连续。 规则五:高速PCB设计的布线方向规则 相邻两层间的走线必须遵循垂直走线的原则,否则会造成线间的串扰,增加EMI辐射,如下图: 相邻的布线层遵循横平竖垂的布线方向,垂直的布线可以抑制线间的串扰。 规则六:高速PCB设计中的拓扑结构规则 在高速PCB设计中有两个最为重要的内容,就是线路板特性阻抗的控制和多负载情况下的拓扑结构的设计。在高速的情况下,可以说拓扑结构的是否合理直接决定,产品的成功还是失败。 如上图所示,就是我们经常用到的菊花链式拓扑结构。这种拓扑结构一般用于几Mhz的情况下为益。高速的拓扑结构我们建议使用后端的星形对称结构。

信号完整性高速互连综述

信号完整性中抖动、噪声研究和发展

信号完整性中抖动、噪声研究和发展 1 引言 随着现代电子产品的开发周期越来越短,工作频率越来越高,尺寸越来越小,产品结构越来越复杂,数字技术的发展日新月异。在20世纪90初,几十兆主 频的X86还是很新鲜的事物,而到如今,频率高达3GHZ的CPU已成为个人电脑的标准配置[1]。手机处理器在10年前ARM7主频还是20MHz,而到了现在2GHz、多核的手机处理器在移动设备上广泛的被应用。处理器遵循着摩尔定律开速的 发展,主频从数十MHz上升到现在的3GHz只用了20年的时间。而在数字产品中,随着工艺的不断改进提升,20前的制造工艺还是微米数量级,而现在已经 步入到了14纳米级工艺。 可见如今的数字电路设计中,芯片的趋势——处理速度越来越快,面积越 来越小,密度却越来越大。数字电路系统的信号速率、时钟频率和集成电路开 关速度[2]的持续增加。这在给广大用户带来更好体验,更便捷应用的同时,也给数字设计者提出了巨大的挑战[3]。信号完整性对于高速电路板和深亚微米(低于0.35微米)芯片设计都是需要考虑的问题[4]。以前在低速设计中可以应 用的方法,在高速电路设计中就编的举步维艰、寸步难行;理论上在设计方法 上应该是正确的,但在实践中却达不到理想的效果。这就涉及到了高速数字电 路设计的问题。I/O速度的提高使得链路总的可用最大抖动预算——单元区间(UI)必将相应的减小。而为了保证设计的整个链路系统有比较好的误码率, 面临的最大挑战就是降低抖动。特征尺寸减小带来的另一个严峻挑战就是功率 损耗和功率密度必须小于某一约束限度,或者说就是使用低功耗设计。 关于抖动的话题对于通信系统的合理设计变得越来越重要,如今,一个通 讯系统的时钟抖动已经成为了影响系统性能的基本限制。时钟抖动的范围与当 今高速串行总线紧密相关,并且数据连接在数字电路系统的设计中,对抖动的 严加控制是必须解决的问题。这是在这种情况下,抖动成为了高速数字通信系 统中,电路设计的一个基本指标。认识什么是抖动,如何描述抖动,成为配置 一个满足性能要求的高速数字系统必不可少的一步。

五款信号完整性仿真分析工具

SI 五款信号完整性仿真工具介绍 (一)Ansoft公司的仿真工具 现在的高速电路设计已经达到GHz的水平,高速PCB设计要求从三维设计理论出发对过孔、封装和布线进行综合设计来解决信号完整性问题。高速PCB 设计要求中国工程师必须具备电磁场的理论基础,必须懂得利用麦克斯韦尔方程来分析PCB设计过程中遇到的电磁场问题。目前,An soft公司的仿真工具能够从三维场求解的角度出发,对PCB 设计的信号完整性问题进行动态仿真。 Ansoft 的信号完整性工具采用一个仿真可解决全部设计问题: Slwave是一种创新的工具,它尤其适于解决现在高速PCB和复杂IC封装中普遍存在的电源输送和信号完整性问题。 该工具采用基于混合、全波及有限元技术的新颖方法,它允许工程师们特性化同步开关噪声、电源散射和地散射、谐振、反射以及引线条和电源/地平面之间的耦合。该工具采用一个仿真方案解决整个设计问题,缩短了设计时间。 它可分析复杂的线路设计,该设计由多重、任意形状的电源和接地层,以及任何 数量的过孔和信号引线条构成。仿真结果采用先进的3D 图形方式显示,它还可产生等效电路模型,使商业用户能够长期采用全波技术,而不必一定使用专有仿 (二)SPECCTRAQuest Cade nee的工具采用Sun的电源层分析模块: Cade nee Design System 的SpeeetraQuest PCB信号完整性套件中的电源完整性模块据称能让工程师在高速PCB设计中更好地控制电源层分析和共模EMI 。 该产品是由一份与Sun Microsystems公司签署的开发协议而来的,Sun最初研制该项技术是为了解决母板上的电源问题。 有了这种新模块,用户就可根据系统要求来算出电源层的目标阻抗;然后基于板上的器件考虑去耦合要求,Shah表示,向导程序能帮助用户确定其设计所要求的去耦合电容的数目和类型;选择一组去耦合电容并放置在板上之后,用户就可运行一个仿真程序,通过分析结果来发现问题所在。 SPECCTRAQuest是CADENCE公司提供的高速系统板级设计工具,通过它可以控制与PCB layout相应的限制条件。在SPECCTRAQuest菜单下集成了一下工具: (1)SigXplorer 可以进行走线拓扑结构的编辑。可在工具中定义和控制延时、特性阻抗、驱动和负载的类型和数量、拓扑结构以及终端负载的类型等等。可在

PCB设计与信号完整性仿真

本人技术屌丝一枚,从事PCB相关工作已达8年有余,现供职于世界闻名的首屈一指的芯片设计公司,从苦逼的板厂制板实习,到初入Pcblayout,再到各种仿真的实战,再到今天的销售工作,一步一步一路兢兢业业诚诚恳恳,有一些相关领悟和大家分享。买卖不成也可交流。 1.谈起硬件工作,是原理图,pcb,码农的结合体,如果你开始了苦逼的pcblayout工作,那么将是漫长的迷茫之路,日复一日年复一年,永远搞不完的布局,拉线。眼冒金星不是梦。最多你可以懂得各种模块的不同处理方式,各种高速信号的设计,但永远只能按照别人的意见进行,毫无乐趣。 2.谈起EDA相关软件,形象的说,就普通的PROTEL/AD来说你可能只有3-6K,对于pads 可能你有5-8K,对于ALLEGRO你可能6-10K,你会哀叹做的东西一样,却同工不同酬,没办法这就是市场,我们来不得无意义的抱怨。 3.众所周知,一个PCB从业者最好的后路就是仿真工作,为什么呢?一;你可以懂得各种模块的设计原则,可以优化不准确的部分,可以改善SI/PI可以做很多,这往往是至关重要的,你可以最大化节约成本,减少器件却功效相同;二;从一个pcblayout到仿真算是水到渠成,让路走的更远; 三:现实的说薪资可以到达11-15K or more,却更轻松,更有价值,发言权,你不愿意吗? 现在由于本人已技术转销售,现在就是生意人了哈哈,我也查询过各种仿真资料我发现很少,最多不过是Mentor Graphics 的HyperLynx ,candense的si工具,

但是他们真的太low了,精确度和完整性根本不能保证,最多是定性的能力,无法定量。真正的仿真是完整的die到die的仿真,是完整的系统的,是需要更高级的仿真软件,被收购的xxsigrity,xx ansys,hspicexx,adxx等等,这些软件才是真正的仿真。 本人提供各种软件及实战代码,例子,从基本入门到高级仿真,从电源仿真,到ddr仿真到高速串行仿真,应有尽有,,完全可以使用,想想以后的高薪,这点投入算什么呢?舍不得孩子套不住狼哦。 所有软件全兼容32位和64位系统。 切记本人还提供学习手册,你懂的,完全快速进入仿真领域。你懂的! 希望各位好好斟酌,自己的路是哪个方向,是否想更好的发展,舍得是哲学范畴,投资看得是利润的最大化,学会投资吧,因为他值得拥有,骚年! 注:本人也可提供培训服务,面面俱到,形象具体,包会! 有购买和学习培训兴趣的请联系 QQ:2941392162

高速信号与信号完整性分解

什么是高速数字信号? 高速数字信号由信号的边沿速度决定,一般认为上升时间小于4倍信号传输延迟时可视为高速信号,而高频信号是针对信号频率而言的。高速电路涉及信号分析、传输线、模拟电路的知识。错误的概念是:8KHz帧信号为低速信号。多高的频率才算高速信号? 当信号的上升/下降沿时间< 3~6倍信号传输时间时,即认为是高速信号. 对于数字电路,关键是看信号的边沿陡峭程度,即信号的上升、下降时间,信号从10%上升到90%的时间小 于6倍导线延时,就是高速信号! 即使8KHz的方波信号,只要边沿足够陡峭,一样是高速信号,在布线时需要使用传输线理论。 信号完整性研究:什么是信号完整性? 时间:2009-03-11 20:18来源:sig007 作者:于博士点击:1813次 信号完整性主要是指信号在信号线上传输的质量,当电路中信号能以要求的时序、持续时间和电压幅度到达接收芯片管脚时,该电路就有很好的信号完整性。当信号不能正常响应或者信号质量不能使系统长期稳定工作时,就出现了信号完整性问题,信号完整性主要表现在延迟、反射、串扰、时序、振荡等几个方面。一般认为,当系统工作在50MHz时,就会产生信号完整性问题,而随着系统和器件频率的不断攀升,信号完整性的问题也就愈发突出。元器件和PCB板的参数、元器件在PCB板上的布局、高速信号的布线等 这些问题都会引起信号完整性问题,导致系统工作不稳定,甚至完全不能正常工作。 1、什么是信号完整性(Singnal Integrity)? 信号完整性(Singnal Integrity)是指一个信号在电路中产生正确的相应的能力。信号具有良好的信号完整性(Singnal Integrity)是指当在需要的时候,具有所必须达到的电压电平数值。主要的信号完整性问题包括反射、振荡、地弹、串扰等。常见信号完整性问题及解决方法: 问题可能原因解决方法其他解决方法 过大的上冲终端阻抗不匹配终端端接使用上升时间缓慢的驱动源 直流电压电平不好线上负载过大以交流负载替换直流负载在接收端端接,重新布线或检查地平面

信号完整性与高速PCB设计课程报告pdf

H a r b i n I n s t i t u t e o f T e c h n o l o g y 信号完整性与高速P C B设 计课程报告 院系:航天学院 班级: 1021202 姓名:凌霄飞鸿 学号: 任课教师:老师 哈尔滨工业大学 2012年

信号完整性与高速PCB设计 任课老师:老师 凌霄飞鸿 1.课程概述与心得体会: 随着系统设计复杂性和集成度的大规模提高,电子系统设计师们正在从事100MHZ以上的电路设计,总线的工作频率也已经达到或者超过50MHZ,有的甚至超过100MHZ。目前约50% 的设计的时钟频率超过50MHz,将近20% 的设计主频超过120MHz。 当系统工作在50MHz时,将产生传输线效应和信号的完整性问题;而当系统时钟达到120MHz时,除非使用高速电路设计知识,否则基于传统方法设计的PCB将无法工作。因此,高速电路设计技术已经成为电子系统设计师必须采取的设计手段。只有通过使用高速电路设计师的设计技术,才能实现设计过程的可控性。 印制电路板的抗干扰设计与具体电路有着密切的关系,这里仅就PCB抗干扰设计的几项常用措施做一些说明。 1.电源线设计 根据印制线路板电流的大小,尽量加粗电源线宽度,减少环路电阻。同时,使电源线、地线的走向和数据传递的方向一致,这样有助于增强抗噪声能力。 2.地线设计 在电子产品设计中,接地是控制干扰的重要方法。如能将接地和屏蔽正确结合起来使用,可解决大部分干扰问题。电子产品中地线结构大致有系统地、机壳地(屏蔽地)、数字地(逻辑地)和模拟地等。在地线设计中应注意以下几点:(1)正确选择单点接地与多点接地 在低频电路中,信号的工作频率小于1MHz,它的布线和器件间的电感影响较小,而接地电路形成的环流对干扰影响较大,因而应采用一点接地的方式。当信号工作频率大于10MHz时,地线阻抗变得很大,此时应尽量降低地线阻抗,应采用就近多点接地。当工作频率在1~10MHz时,如果采用一点接地,其地线长度不应超过波长的1/20,否则应采用多点接地法。 (2)数字地与模拟地分开。 电路板上既有高速逻辑电路,又有线性电路,应使它们尽量分开,而两者的地线不要相混,分别与电源端地线相连。低频电路的地应尽量采用单点并联接地,实际布线有困难时可部分串联后再并联接地。高频电路宜采用多点串联接地,地线应短而粗,高频元件周围尽量用栅格状大面积地箔。要尽量加大线性电路的接地面积。 (3)接地线应尽量加粗。 若接地线用很细的线条,则接地电位则随电流的变化而变化,致使电子产品的定时信号电平不稳,抗噪声性能降低。因此应将接地线尽量加粗,使它能通过三倍于印制电路板的允许电流。如有可能,接地线的宽度应大于3mm。 (4)接地线构成闭环路。 设计只由数字电路组成的印制电路板的地线系统时,将接地线做成闭路可以明显地提高抗噪声能力。其原因在于:印制电路板上有很多集成电路元件,尤其遇

信号完整性分析

信号完整性背景 信号完整性问题引起人们的注意,最早起源于一次奇怪的设计失败现象。当时,美国硅谷一家著名的影像探测系统制造商早在7 年前就已经成功设计、制造并上市的产品,却在最近从生产线下线的产品中出现了问题,新产品无法正常运行,这是个20MHz 的系统设计,似乎无须考虑高速设计方面的问题,更为让产品设计工程师们困惑的是新产品没有任何设计上的修改,甚至采用的元器件型号也与原始设计的要求一致,唯一的区别是 IC 制造技术的进步,新采购的电子元器件实现了小型化、快速化。新的器件工艺技术使得新生产的每一个芯片都成为高速器件,也正是这些高速器件应用中的信号完整性问题导致了系统的失败。随着集成电路(IC)开关速度的提高,信号的上升和下降时间迅速缩减,不管信号频率如何,系统都将成为高速系统并且会出现各种各样的信号完整性问题。在高速PCB 系统设计方面信号完整性问题主要体现为:工作频率的提高和信号上升/下降时间的缩短,会使系统的时序余量减小甚至出现时序方面的问题;传输线效应导致信号在传输过程中的噪声容限、单调性甚至逻辑错误;信号间的串扰随着信号沿的时间减少而加剧;以及当信号沿的时间接近0.5ns 及以下时,电源系统的稳定性下降和出现电磁干扰问题。

信号完整性含义 信号完整性(Signal Integrity)简称SI,指信号从驱动端沿传输线到达接收端后波形的完整程度。即信号在电路中以正确的时序和电压作出响应的能力。如果电路中信号能够以要求的时序、持续时间和电压幅度到达IC,则该电路具有较好的信号完整性。反之,当信号不能正常响应时,就出现了信号完整性问题。从广义上讲,信号完整性问题指的是在高速产品中由互连线引起的所有问题,主要表现为五个方面:

高速USB设计

高速USB2.0设备的PCB板设计 通用串行总线(Universal Serial Bus)从诞生发展到今天,USB协议已从1.1过渡到2.O,作为其重要指标的设备传输速度,从1.5 Mbps;的低速和12 Mbps的全速,提高到如今的480 Mbps的高速。USB接口以其速度快、功耗低、支持即插即用、使用安装方便等优点得到了广泛的应用。目前,市场上以USB2.0为接口的产品越来越多,绘制满足USB2.0协议高速数据传输要求的PCB板对产品的性能、可靠性起着极为重要的作用,并能带来明显的经济效益。 USB2.0接口是目前许多高速数据传输设备的首选接口,实践表明:在高速USB主、从设备的研发过程中,正确设计PCB板能充分发挥USB2.O高速性能。但是,若PCB板设计不当,则传输速率可能根本达不到预期目的,甚至会导致高速USB2.0设备只能工作在全速状态。 下面介绍USB2.0设备高速数据传输PCB板设计。 1 USB2.0接口差分信号线设计 USB2.0协议定义由两根差分信号线(D+、D-)传输高速数字信号,最高的传输速率为480 Mbps。差分信号线上的差分电压为400 mV,差分阻抗(Zdiff)为90(1±O.1)Ω。在设计PCB板时,控制差分信号线的差分阻抗对高速数字信号的完整性是非常重要的,因为差分阻抗影响差分信号的眼图、信号带宽、信号抖动和信号线上的干扰电压。差分线2D模型如图1所示。 差分线由两根平行绘制在PCB板表层(顶层或底层)发生边缘耦合效应的微带线(Microstrip)组成的,其阻抗由两根微带线的阻抗及其和决定,而微带线的阻抗(Zo)由微带线线宽(W)、微带线走线的铜皮厚度(T)、微带线到最近参考平面的距离(H)以及PCB板材料的介电常数(Er)决定,其计算公式为:Zo={87/sqrt(Er+1.41)]}ln[5.98H/(0.8W+T)]。影响差分线阻抗的主要参数为微带线阻抗和两根微带线的线间距(S)。当两根微带线的线间距增加时,差分线的耦合效应减弱,差分阻抗增大;线间距减少时,差分线的耦合效应增强,差分阻抗减小。差分线阻抗的计算公式为:Zdiff=2Zo(1-0.48exp(-0.96S/H))。微带线和差分线的计算公式在

高速PCB设计心得

一:前言 随着PCB系统的向着高密度和高速度的趋势不断的发展,电源的完整性问题,信号的完整性问题(SI),以及EMI,EMC的问题越来越突出,严重的影响了系统的性能甚至功能的实现。所谓高速并没有确切的定义,当然并不单单指时钟的速度,还包括数字系统上升沿及下降沿的跳变的速度,跳变的速度越快,上升和下降的时间越短,信号的高次谐波分量越丰富,当然就越容易引起SI,EMC,EMI的问题。本文根据以往的一些经验在以下几个方面对高速PCB的设计提出一些看法,希望对各位同事能有所帮助。 ●电源在系统设计中的重要性 ●不同传输线路的设计规则 ●电磁干扰的产生以及避免措施 二:电源的完整性 1.供电电压的压降问题。 随着芯片工艺的提高,芯片的内核电压及IO电压越来越小,但功耗还是很大,所以电流有上升的趋势。在内核及电压比较高,功耗不是很大的系统中,电压压降问题也许不是很突出,但如果内核电压比较小,功耗又比较大的情况下,电源路径上的哪怕是0.1V 的压降都是不允许的,比如说ADI公司的TS201内核电压只有 1.2V,内核供电电流要 2.68A,如果路径上有0.1欧姆的电阻,电 压将会有0.268V的压降,这么大的压降会使芯片工作不正常。如何尽量减小路径上的压降呢?主要通过以下几种方法。

a:尽量保证电源路径的畅通,减小路径上的阻抗,包括热焊盘的连接方式,应该尽量的保持电流的畅通,如下图1和图2的比较,很明显图2中选择的热焊盘要强于图1。 b:尽量增加大电流层的铜厚,最好能铺设两层同一网络的电源,以保证大电流能顺利的流过,避免产生过大的压降,关于电流大小和所流经铜厚的关系如表1所示。 (表1) 1 oz.铜即35微M厚, 2 oz.70微M, 类推 举例说,线宽0.025英寸,采用2 oz.盎斯的铜,而允许温升30度,

PCB高速信号电路设计的三大布线技巧详解

PCB高速信号电路设计的三大布线技巧详解 PCB 板的设计是电子工程师的必修课,而想要设计出一块完美的PCB 板也并不是看上去的那么容易。一块完美的PCB 板不仅需要做到元件选择和设置合理,还需要具备良好的信号传导性能。本文将会就PCB 高速信号电路设计中的布线技巧知识,展开详细介绍和分享,希望能够对大家的工作有所帮助。 合理使用多层板进行PCB 布线 在PCB 板的实际设计过程中,大部分工程师都会选择使用多层板来完成高速信号布线工作,这种多层板既是必不可少的组成部分,也是帮助工程师降低电路干扰的有效手段。在利用多层板来完成PCB 的高速信号电路设计时,工程师需要合理的选择层数来降低印制板尺寸,充分利用中间层来设置屏蔽,实现就近接地,能有效降低寄生电感,缩短信号传输长度,降低信号间的交叉干扰等等,所有这些方法对高速电路的可靠性工作都是非常有利的。 除了上面所提到的几种利用多层板提升PCB 信号传输可靠性的方法外,还有一部分权威资料显示,同种材料时四层板要比双面板的噪声低20dB。引线弯折越少越好,最好采用全直线,需要转折,可用45 度折线或圆弧转折,可以减小高速信号对外的发射和相互间的耦合,减少信号的辐射和反射。 高速电路器件管脚间的引线越短越好 在进行PCB 高速信号电路的设计和布线过程中,工程师需要尽可能的缩短高速电路器件管脚之间的引线,以为引线越长,带来的分布电感和分布电容值越大,这将会导致高速电路系统发生反射、振荡等。 除了要尽可能的缩短高速电路元件管脚之间的引线之外,在PCB 布线的过程中,各个高速电路器件管脚间的引线层间交替越少越好,就是元件连接过程中所用的过孔越少越好。通常来说,一个过孔可带来约0.5pF 的分布电容,这将

ADI的高速PCB设计

The World Leader in High Performance Signal Processing Solutions A Practical Guide to High-Speed Printed Circuit Board Layout

Agenda Overview Schematic Location location location Location, location, location Power supply bypassing Parasitics Ground and power planes Packaging RF Signal routing and shielding Summary

Overview PCB layout is one of the last steps in the design process and often one of the most critical High-speed circuit performance is heavily dependant on High speed circuit performance is heavily dependant on layout A high-performance design can be rendered useless due to a poor or sloppy layout poor or sloppy layout Today’s presentation will help: p y p z Improve the layout process z Ensure expected circuit performance is achieved z Reduce design time L t z Lower cost z Lower stress for you and the PCB designer

经验秘笈:高速PCB设计

高速板设计技术(HighSpeedBoardDesign) 目录 高速板设计技术(HIGHSPEEDBOARDDESIGN)1 1.电源分配3 1.1电源分配网络作为动力源3 1.1.1阻抗的作用3 1.1.2电源总线法vs电源位面法4 1.1.3线路噪声过滤5 1.1.4 旁路电容的放置8 1.2 电源分配网络作为信号回路9 1. 2.1自然的信号返回线路9 1.2.2总线vs信号回路平面 10 1.3 设计板面应考虑电源分配 10 1.3.1当心电源层割缝 11 1.3.1.1地线电缆的有效性 11 1.3.1.2分离模拟电源平面与数据电源平面 12 1.3.1.3避免重叠分离的板平面 12 1.3.1.4隔开敏感元件 12 1.3.1.5隔开敏感元件将电源总线靠近信号线 12 2.传输信号线 2.1传输线分类 14 2.1.1 对带状线来说:14 2.1.2 对微波传输线:15 2.2计算分散的负载 15 2.3反射16 2.4反射定量化 18 2.5传输线布局法则 25 2.5.1避免断点 25 2.5.2不要使用STUB和T S 26 3.色度亮度干扰 26 3.1电容性干扰 26 3.2电感性干扰 28

3.2.1线圈的尺寸和紧密程度 29 3.2.2负载阻抗 29 3.3干扰解决方法总结 29 4.电磁干扰(EMI) 30 4.1环路(LOOPS) 30 4.2过滤(FILTERING) 30 4.2.1 EMI过滤器 30 4.2.2铁氧体噪声干扰抑制器(ferrite noise suppressors) 31 4.3设备速度 32总结33

相关文档
相关文档 最新文档