文档库 最新最全的文档下载
当前位置:文档库 › 河南理工大学数字电子技术基础试卷试题

河南理工大学数字电子技术基础试卷试题

河南理工大学数字电子技术基础试卷试题
河南理工大学数字电子技术基础试卷试题

河南理工大学数字电子技术基础试卷试题

集团公司文件内部编码:(TTT-UUTT-MMYB-URTTY-ITTLTY-

河南理工大学数字电子技术基础试卷试题(一)

一、(18分)选择填空题 1. 用卡诺图法化简函数

F (ABCD )=∑m (0,2,3,4,6,11,12)+∑d (8,9,10,13,14,15)得最简与-或式

________。

A. BC B F +=

B. C

B D A F ++=

C. C B D F +=

D. A B CD F ++= 2. 逻辑函数F 1、F 2、F 3的卡诺图如图1-2所示,他们之间的逻辑关系是 。

A .F 3=F 1?F 2

B .F 3=F 1+F 2

C .F 2=F 1?F 3

D .F 2=F 1+F 3

图1-2

3. 八选一数据选择器74151组成的电路如图1-3所示,则输出函数为( )。

A .

B

C CA BA L ++= B .B C A C A B L ++= C .B C CA A B L ++=

D .CB CA A B L ++=

图1-3

4. 图1-4所示电路中,能完成Q n +1=n

Q 逻辑功能的电路是( ) 二、(12分)逻辑电路如图2 a 、b 、c 所示。试对应图d 所示输入波形,分别画出输出端L 1、、L 2 和L 3的波形。(设触发器的初态为0)

(a ) (b) (c ) (d)

图2

三、(12分)发由全加器FA 、2-4线译码器和门电路组成的逻辑电路如图3 a 所示。试在图b 中填写输出逻辑函数L 的卡诺图(不用化简)。

(a) (b)

图3

试卷二参考答案

一、选择填空

1.C 2.B 3.C 4.B 5.B 6.C 7.B 二、输出端L 1、L 2和L 3的波形如图A2所示。

图A2

三、输出逻辑函数L 的卡诺图如图A3所示。

图A3

四、1.逻辑函数Y 的卡诺图如图A4所示。

2.101000Y X X A AB AX AB X X A AB AX AB =+++=???, 3.电路图略

图A4 五、

1.时钟方程:CP CP CP ==20 01Q CP =

激励方程:1 020==K Q J ,; 1 111==K J ,; 1 2012==K Q Q J ,

状态方程:

0000210cp Q cp Q Q Q n n

n

n +=+,111111cp Q cp Q Q n n

n +=+,22221012cp Q cp Q Q Q Q n

n

n n n +=+

2.电路的状态图如图A5-2所示。电路具有自启动功能。

图A5-2

3.波形图如图A5-3所示。

图A5-3

六、

1.电路状态表如表A6所示。

2.激励方程: X Q Q X Q D n n

n 0111+=,

n

n n

n

n Q Q X Q Q X Q D 010100++= 输出方程: X Q Z n

1=

3.电路为可控三进制计数器

七、

1.555定时器组成多谐振荡器。

2.74LVC161组成五进制计数器,电路状态表如表A7所示 3.v o1、Q 3、Q 2、Q 1、Q 0 及L 的波形如图A7组成。

河南理工大学数字电子技术基础试卷

试题(二) 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规则 , , 。

3、逻辑函数的化简有 , 两种方法。

4、A+B+C= 。

5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,

与非门 ,输出 。 6、组合逻辑电路没有 功能。

7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方

程 ,

主从JK 触发器的特性方程 ,D 触发器的特性方程 。

二、选择题(每题1分,共10分)

1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑

2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( )

A 、Y=AB+BC+ABC

B 、Y=A+B

C 、Y=A 3、

A 、Y=A

B B 、Y 处于

悬浮状态 C 、Y=B A +

4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB

5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。

6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。

C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( )

1 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 1 1 0 1 1 1 1 0 1 1 1 0 1 1 1 1 1 1 1 1 1 0 1 1

A 、异步计数器的计数脉冲只加到部分触发器上

B 、异步计数器的计数脉冲同时加到所有触发器上

C 、异步计数器不需要计数脉冲的控制 8、下列说法是正确的是 ( )

A 、施密特触发器的回差电压ΔU=U T+-U T-

B 、施密特触发器的回差电压越大,电路的抗干扰能力越弱

C 、施密特触发器的回差电压越小,电路的抗干扰能力越强

9、下列说法正确的是 ( )

A 、多谐振荡器有两个稳态

B 、多谐振荡器有一个稳态和一个暂稳态

C 、多谐振荡器有两个暂稳态

10、下列说法正确的是 ( ) A 、 555定时器在工作时清零端应接高电平 B 、555定时器在工作时清零端应接低电平 C 、555定时器没有清零端 二、化简逻辑函数 1、

2、Y (A ,B ,C ,)=∑m (0,1,2,3,4,6,8,9,10,11,14) 二、 画波形图 1、 2、

三、 设计题

1、某车间有A 、B 、C 、D 四台发电机,今要求(1)A 必须开机(2)其他三台电动机中至少有两台开机,如不满足上述要求,则指示灯熄灭。试用与非门完成此电路。

2、试用CT74LS160的异步清零功能构成24进制的计数器。

四、 数制转换(10分)

(156)10=( )2=( )8=( )16 (111000.11)2=( )10=( )8 五、分析题(10分)

由555定时器组成的多谐振荡器。已知V DD =12V 、C=0.1μF 、R 1=15K Ω、R 2=22K Ω。试求:

(1) 多谐振荡器的振荡频率。 (2) 画出的u c 和u o 波形。 答案(七) 一、填空题

1、与运算、或运算、非运算。

2、代入规则、反演规则、对偶规则。

3、公式法、卡诺图法。

4、C B A ++= A B C

5、关闭、高电平、开通、低电平。

6、记忆

7、代数方法、卡诺图法。

8、两个稳态、 Q n+1=S+RQ n

RS=0 (约束条件) (CP 下降沿) n n n Q K Q J Q +=+1 (CP

下降沿)

Q n+1

=D (CP 上升沿)

二、选择题

1、C

2、C

3、A

4、A

5、A

6、C

7、A

8、A

9、C 10、A 三、 化简逻辑函数 1、 2、

四、画波形图 1、 2、 五、设计题 1、 2、 六、数制转换 (156)10=

()2=(234)8=(9C )16

(111000.11)2=(56.75)10=( 70.6)8 七、分析题

T=0.7(R 1+2R 2)C=0.7×(15+2×22)×0.1=4.13 s

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

河南理工大学本科生毕业设计 论文 撰写规范

河南理工大学本科生毕业设计(论文)撰写规范 (根据学校相关要求2009年6月修订) 1.毕业设计(论文)资料的组成、装订 毕业设计(论文)按统一标准装订,装订的顺序如下: 毕业设计(论文)封面→毕业设计任务书→毕业设计评阅人评语→毕业设计评定书→毕业设计答辩许可证→毕业设计答辩委员会(小组)决议→中文摘要→外文摘要→目录→前言→正文→致谢→参考文献→附录。 2.毕业设计报告(论文)的内容与要求 一份完整的毕业设计报告(论文)应包括以下几方面。 (一)论文题目 论文题目应简短、明确、有概括性。通过题目使读者大致了解毕业设计(论文)的内容、专业的特点和科学的范畴。如果有些细节必须放进标题,为避免冗长,可以分成主标题和副标题,主标题写得简明,将细节放在副标题里。 (二)论文摘要 摘要应以浓缩的形式概括研究课题的内容、方法和观点,以及取得的成果和结论,应能反映整个内容的精华。中外文摘要以300-500字为宜;撰写摘要时应注意以下几点: 1.用精炼、概括的语言来表达,每项内容不宜展开论证或说明; 2.要客观陈述,不宜加主观评价; 3.成果和结论性字句是摘要的重点,在文字论述上要多些,以加深读者的印象; 4.要独立成文,选词用语要避免与全文尤其是前言和结论部分雷同。 (三)目录 (四)前言 应说明本课题的意义、目的、研究范围及要求达到的技术参数;简述本课题应解决的主要问题。 (五)正文 正文是作者对研究工作的详细表述。其内容包括:问题的提出,研究工作的基本前提、假设和条件;基本概念和理论基础;模型的建立,实验方案的拟定;基本概念和理论基础;设计计算的方法和内容;实验方法、内容及其分析;理论论证,理论在课题中的应用,课题得出的结果,以及结果的讨论等。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

河南理工大学土木建筑专业毕业设计刘排过1(同名45143)

河南理工大学土木建筑专业毕业设计刘排过1(同名45143)

摘要 本次毕业设计是一幢旅馆设计,包括建筑设计和结构设计两部分内容。 建筑设计是在总体规划的前提下,根据设计任务书的要求,综合考虑基地环境、使用功能、综合选型、施工、材料、建筑设备、建筑艺术及经济等。着重解决了建筑物与周围环境、建筑物与各种细部构造,最终确定设计方案,画出建筑施工图。 结构设计是在建筑物初步设计的基础上确定结构方案;选择合理的结构体系;进行结构布置,并初步估算,确定结构构件尺寸,进行结构计算。结构计算包括荷载计算、变形验算、内力分析及截面设计,并绘制相关的结构施工图。 本工程为旅馆设计,因地处城市中心交通要道,在总体规划设计时,考虑到场地要求、绿化设施、其它功能要求,以及周围建筑物的影响,设计时采用┛型。 总之,适用、安全、经济、使用方便是本设计的原则,两部分空间合理,连接紧凑,主次分明,使建筑空间的舒适度加以提高。 关键词:抗震采光结构桩基 ABSTRACT This design is a scheme of a hotel building it includes two parts-architecture design and structure design. In the architecture design. In the architecture design and structure designing the architecture design, I compressively consider the base averment using faction, structure type, construction building materials equipment architecture economy and architecture economy and

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

河南理工大学本科生毕业设计(论文)撰写规范

计算机科学与技术学院 2011届本科毕业生毕业答辩安排 一、组织领导 学院组成毕业答辩委员会,领导答辩工作。毕业答辩委员会组成如下: 主任:贾宗璞 副主任:孙君顶 委员:付子义张长森杨立身黄玉许辉朱世松安葳鹏于金霞汤永利薛霄许焱平冯红梅申自浩刘静刘艳霞贾慧娟 张磊张延良 秘书:赵珊韩秀娟曾咪鲁保云 二、论文送审 1、6月1日(星期三):所有学生论文打印初稿(不需正式装订,隐去导师信息)、毕业设计任务书等内页及计算机学院毕业论文评阅表(后两样材料均可在毕业设计管理系统网站文档下载页面下载,不要和论文装订在一起)用档案袋装好,由指导教师集中收齐并填写毕业设计(论文)任务书(内页1),统一交到系主任处,同时上报准备评优的学生名单。 2、6月1日(星期三):各系安排相关教师进行审阅并填写计算机学院论文评阅表(需有修改意见并给出相应五级制成绩,注意不要签名)及毕业设计(论文)评阅人评语(论文内页2)。由各系组织对论文进行审阅,评审时注意准备评优的学生论文必须安排具有副高职称的教师审阅。 3、6月7日(星期二):审阅结束后将论文审阅结果(含论文)返指导教师,同时由系主任将审阅安排上报教学科研办公室。 4、6月9日(星期四)前:学生在指导教师的指导下,根据评阅意见进行修改并填写修改情况说明;指导教师检查学生修改情况并签署意见,返回各系部。 5、6月10日(星期五)前:各系(部)将学生修改后的论文及修改说明反馈给原论文评阅人,由原评阅人签署意见,并签名。如发现未按审阅意见修改且无正当理由者,取消其相应答辩资格。 6、6月12日(星期日)前:论文评阅人将复审后的论文返回各系(部),由各指导老师将论文反馈给学生装订,督促学生准备好所有答辩材料(答辩时请将评阅表交答辩组)。 三、毕业答辩 1、答辩时间:6月15日(星期三)至6月16日(星期四)。 2、答辩时,有软硬件成果的论文要现场演示,其他内容论文做PPT,自备软硬件环境。 3、答辩次序由答辩小组决定。 4、所有学生答辩前,必须向答辩小组提交以下七项材料,否则,不能进行答辩,请各答辩组组长负责审查,严格把关。

河南理工大学本科毕业设计(论文)中期检查表

河南理工大学本科毕业设计(论文)中期检查表 指导教师:冯红梅职称: 所在院(系):计算机科学与技术学院教研室(研究室): 题目基于单片机的密码锁设计 学生姓名骆振永专业班级通信工程11-6班学号311109020619 一、选题质量:(主要从以下四个方面填写:1、选题是否符合专业培养目标,能否体现综合训练要求;2、题目难易程度;3、题目工作量;4、题目与生产、科研、经济、社会、文化及实验室建设等实际的结合程度) 电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。现在应用较广的电子密码锁是以芯片为核心,通过编程来实现的。所选毕业设计题目综合运用了本专业所学课程:《电路分析基础》、《模拟电子技术基础》、《数字电子技术基础》、《单片机原理与应用》、《通信基本电路》、《传感器基础》等知识,符合专业的培养目标,体现综合训练的要求。本设计着重硬件设计,同时需要有较为扎实的理论知识,以及具备较强的动手能力,仿真和焊接作品时需要较大的工作量。电子锁可以在日常生活和现代办公中,住宅与办公室的安全防范、单位的文件档案、财务报表以及一些个人资料的保存等多种场合使用。大大提高了主人物资的安全性,安全可以代替老式机械锁。目前使用的密码锁种类繁多,各具特色。本文从经济实用的角度出发,研制了一款具有防盗自动报警功能的电子密码锁。该密码锁设计方法合理,简单易行,成本低,符合住宅、办公室用锁要求,具有一定的推广价值。 二、开题报告完成情况: 按时完成开题报告。

三、阶段性成果: 1. 毕业设计课题的基本硬件电路图的设计基本完成。 2. 毕业论文的基本框架有了大概的轮廓。 3. 对Protel软件有了更深层次的理解和运用,并对Protues等计算 机仿真软件有了初步的认识。 四、存在主要问题: 1. 毕业设计电路原理图的布线是主要问题,因为本课题的外围电路包括单片机的晶振电路,复位电路, 7805稳压电路,掉电存储电路,显示电路,报警电路及矩阵键盘,线路比较复杂,布线有一定的困难,所以自动布线已经不再适用,只能单根布线,需要花费大量的时间来查阅资料。 2. 元器件的封装,没有现成的封装库可以利用,需要进行手动封装。 3. 时间上有些紧促,不过论文的绪论已经完成,详细介绍设计方案只是时间问题。我会尽快完成。 五、指导教师对学生在毕业实习中,劳动、学习纪律及毕业设计(论文)进展等方面的评语 指导教师:(签名) 年月日

数字电子技术基础—试题—填空

一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为12条、数据线为 8条。 1.八进制数 (34.2 ) 8 的等值二进制数为(11100.01 ) 2 ; 十进制数 98 的 8421BCD 码 为( 10011000 ) 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入 高电平。 3 .图15所示电路 中 的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有 两 个稳态,它可存储 一 位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用 多谐振荡器 电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或 , 与非门 , 或门 1.(11011)2 =(__27__)10 2.8421BCD 码的1000相当于十进制的数值 8 。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F 的反函数 F 。 5.二极管的单向导电性是外加正向电压时 导通 ,外加反向电压时 截止 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 高阻 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 上拉电阻 和 电源 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 多 。 10. 输出n 位代码的二进制编码器,一般有 __2n ____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 RS=0 。

河南理工大学本科毕业设计(论文)开题报告

河南理工大学本科毕业设计(论文)开题报告 河南理工大学本科毕业设计(论文)开题报告 河南理工大学本科毕业设计(论文)开题报告 题目名称基于excel的汽轮机设计 学生姓名专业班级学号 1、选题的目的和意义 目的:汽轮机是高等院校热能与动力工程专业的1门专业课程,是现代化国家重要的动力机械设备。通过本次设计,可以使我进1步深入学习汽轮机原理,基本结构等相关知识,同时也可以提高我的计算机应用能力。通过这次设计,还可以培养我的实践技能,使我对本专业的理论知识掌握的更加牢固。 意义:基于excel的汽轮机设计,1方面提高了我使用应用软件的能力,并使我深深体会到在设计中计算机应用的重要性。另1方面也提高了我对本专业知识的理解,设计中要用到许多本专业的课程,不仅是知识的巩固,更重要的是通过设计使我提高了对已有知识的应用能力,也提高了我对未知知识的求知欲望。 2、国内外研究综述 汽轮机现状简述: 自1883年第1台汽轮机问世以来,至今已有1百多年时间。随着热力学,气体动力学,制造技术的进步,汽轮机发展迅速。当前,国际上主要制造企业:美国通用公司,美国西屋电气公司,日本3菱公司等。国内主要有:上海汽轮机厂,哈尔滨汽轮机厂,杭州汽轮机厂(工业用)等。作为现代化国家重要的动力机械设备,在国民经济中起着极其重要的作用。 汽轮机具有以下优点:

1、单机功率大。 2、热经济性高。 3、运行安全可靠。 4、可以利用多种燃料和使用寿命长。 汽轮机的设计制造现状: 本世纪40年代后,尤其是最近20几年,汽轮机发展特别迅速。现代汽轮机的设计和制造主要围绕增大单机功率为主。增大单机功率能减少单位功率的材料消耗和制造工时,增大单机功率后适宜用较高的蒸气参数,可提高机组的热经济性,节约电厂占地面积。 自70年代以来,工业发达国家汽轮机设计和制造进入了百万级。目前,最大的单机功率可达到1300mw。 我国自1955年制造第1台中压6mw汽轮机以来,在以后几XX年时间里,已经走完了从中压机组到亚临界600mw机组的全部过程,特别是近XX年时间里,发展较快。这预示着我国将制造出更大功率等级的汽轮机,逐步赶上世界先进水平。 3、毕业设计(论文)所用的方法 该毕业设计按章节分组,共5组。 第1阶段:在设计过程中先熟悉课本和参考资料内容,在1定时间内掌握第1手资料,写出相关章节的课程教案,后在组内讨论,制定本组设计方案、计划。第2阶段:搜集相关资料,汇集设计信息。并学习相关软件的使用、设计方法。第3阶段:运用相关知识进行设计。 第4阶段:设计后参考有关设计样板,完善设计方法,进1步完善设计结果。第5阶段:完成毕业论文,总结设计体会。

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+

3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1KΩ,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f和占空比q。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态

时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 D= Q n+1= Q 1= 7. 已知电路如图4所示,试写出:

①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4

数字电子技术基础试题与答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F

2.证明逻辑函数式相等:()() ++++=+ BC D D B C AD B B D 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式:

(2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1K Ω,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f 和占空比q。 图1

5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………………密……………………封…………………………装…………………订………………………线………………………

相关文档
相关文档 最新文档