文档库 最新最全的文档下载
当前位置:文档库 › 北京邮电大学 申请书(1)

北京邮电大学 申请书(1)

北京邮电大学 申请书(1)
北京邮电大学 申请书(1)

编号:

北京市社会科学理论著作出版资助申请书

推荐单位:____________

著作名称:____________

学科分类:____________

申请人姓名:____________

联系电话:____________

通信地址:____________

邮政编码:____________

初审出版社:____________

填表日期:年月日

注意事项

一、申请出版资助著作的撰稿人必须具备下列条件:

1.北京市各社会科学研究、教学单位和北京市与中央各部门双管的社会科学研究、教学单位的科研人员;

2.拥护共产党的领导,热爱社会主义祖国,坚持党的基本路线。

二、申请出版资助的著作必须符合下列标准:

1.必须坚持马克思主义指导,坚持理论联系实际的原则; 2.必须是社会科学学术专著(教材类、资料类、工具书类、译著类、普及类、论文集等著作除外),并在本学科研究领域有较高的学术价值;

3.书稿必须达到出版水平;

4.著作权不存在任何争议。

三、申请书各项内容请用钢笔正楷如实填写,各项内容不得遗

漏,项目内容没有的应写“无”。

四、表中“学科分类”项,请按国家学科分类标准,填写一级

学科和二级学科。如:哲学、中国哲学。

五、申请书一式五份(含原件一份),连同书稿一份,在规定时

间内报到北京市社会科学理论著作出版基金办公室。

申请出版资助著作情况

- 1 -

- 2 -

- 3 -

著作简介

提示:为便于对资助著作实行计算机管理及介绍、宣传,请作者如实填写。字

- 4 -

推荐专家意见

申请人需有两位与申请出版资助著作相同研究方向的、具有正高级专业职称的专家推荐。

- 5 -

单位推荐意见

- 6 -

出版社初审意见

-7 -

申请资助预算金额

单位:元

-8 -

北京市社会科学理论著作出版基金

办公室意见

北京市社会科学理论著作出版基金管理小组

审批意见

【含源代码】北邮dsp-MATLAB实验三梳状滤波器的应用

Dsp-matlab实验 实验三:梳状滤波器的应用 设 计 报 告 课题名称:梳状滤波器的应用 学生姓名: 班级: 班内序号: 学号: 日期:2015/06/15

目录 一、实验内容········································· 二、Matlab运行结果(含分析)································· 三、Matlab源代码···························· 四、遇到的难题与解决方法···························· 参考文献·························································

一、实验内容 录制一段自己的话音,时间长度及取样频率自定;对该段声音加入一次反射、三次反射和无穷多次反射。试验报告要求: 1、对试验原理的说明; 回声往往是原始声音衰减后的多个延迟叠加而组成的,因此回声可以用延迟单元来生成。X(n)表示原始声音信号,α为衰减系数,N为延迟周期,回声信号Y(n)=X(n)α*x(n-T)+α^2*x(n-2T)+……+α^N*x(n-NT). Z变换后的系统函数H(Z)可由梳状滤波器实现。MATLAB filter函数可用来仿真差分方程,本次实验用的就是这个函数。 2、在同一张图上,绘制原声音序列() x n、加入一次反射后的声音序列 1() x n、加入三次反射后的声音序列 3() x n和加入无穷多次反射后的声音序列() I x n;

其中蓝色为原声音序列x(n),粉红色为加入一次反射后的声音序列 x1(n),绿色为加入三次反射后的声音序列x3(n),红色为加入无穷多次反射后的声音序列x ∞(n)。 二、Matlab 运行结果(含分析)· 结合上述各序列,分析延时、衰减系数对回声效果的影响(提示:定量考察序列()x n 、1()x n 、3()x n 和()I x n 之间的区别) 延时不变时,衰减系数a 从零增大到1的过程中,回声效果由差变好再变差。a 很小时几乎听不到回声,a 在0.5±0.1时回声效果最明显,a 接近1时声音变得很不清晰,几乎不可识别。衰减系数不变时延时T 从零增大的过程中回声效果由差变好再变差。T 接近0时可以听到回声,但多次回声的层次感不清晰。0.1s1s 三、Matlab 源代码· >> [x,fs]=audioread('a.wav');sound(x,fs);a=0.6;T=0.2; y1=filter([1,zeros(1,T*fs-1),a],1,x);sound(y1,fs);wavwrite(y1,fs,'echo1.wav'); y2=filter([1,zeros(1,T*fs-1),a,zeros(1,T*fs-1),a^2,zeros(1,T*fs-1),a^3],1,x); sound(y2,fs);wavwrite(y2,fs,'echo2.wav');y3=filter(1,[1,zeros(1,T*fs-1),a],x);sound(y3,fs);wavwri te(y3,fs,'echo3.wav');plot(y3,'m'); hold on;plot(y2,'r'); hold on;plot(y1,'g');hold on;plot(x,'b'); 四、遇到的难题与解决办法 最开始遇到的问题是matlab 软件安装问题,因为电脑环境的特殊性尝试了多次才成功; 在建模过程中发现对实验原理因为学习时间过长有些不熟悉,于是翻书查阅复习,熟悉实验原理; 在实验过程中因为粗心,忘记保存,没有打符号等等之类问题使系统开始报错,细心调试之后成功建模

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

北京邮电大学计算机学与技术大三数据库第8次实验报告

北京邮电大学 实验报告 课程名称数据库系统概念 实验名称数据库事务创建与运行实验_计算机_系_302_班姓名华逸群 _计算机_系_302_班姓名魏乐业 教师_叶文吴起凡_ 成绩_________ 2013年6月5日

实验目的 通过实验,了解SQL SERVER数据库数据库系统中各类数据库事务的定义机制和基于锁的并发控制机制,掌握SQL SERVER数据库系统的事务控制机制。 实验环境 采用SQL SERVER数据库管理系统作为实验平台。其中,SQL SERVER 可以采用2005、2008及2012的企业版本等高级版本。 实验背景 多用户或者多进程并发操作数据库时必须有事务的概念,其具备ACID原则。SQL SERVER也不例外,它的事务可分成以下几种: 显式事务:以BEGIN TRANSACTION开始,COMMIT TRANSACTION结束,中间是一系列属于该事务的SQL语句。如果有错,可以用ROLLBACK TRANSACTION语句来撤销。 隐式事务:使用SET IMPLICIT_TRANSACTION ON命令,可以在本连接上开始一个隐式事务。除非显式执行COMMIT TRANSACTION或者ROLLBACK TRANSACTION,该事务不会完成。 自动提交事务:如果连接没有设置为前两种事务,则其对每一条SQL语句自动提交,即它是包含一条SQL语句的事务。 事务针对数据的修改,就是CRUD(Create、Read、Update和Delete的时候起作用。完全实现ACID原则非常困难,而实现ACID原则的方法是非常灵活的,SQL SERVER使用冗余结构,即使用事务日志来实现事务的各种功能。 1.显式执行模式:以begin transaction开始,以commit transaction、rollback transaction 结束。要注意SQL SERVER中事务不会自己检查错误,所以需要我们在事务中进行处理,写成如下形式: BEGIN TRAN BEGIN TRY 一系列SQL语句 COMMIT TRAN END TRY CATCH RAISERROR(‘Transaction Aborted’,16,1) ROLLBACK TRAN END CA TCH 2.隐式事务:略。

国内大学图书馆书目检索系统比较

书目检索(BibliographicRetrieval)是以文献线索为检索对象的信息检索。检索系统存储的是以二次信息(目录、索弓丨、文摘等)为对象的信息,它们是女献信息的外部特征与内容特征的描述集合体。信息用户通过检索获取的是原文的“替代物”,也即有关某一问题的一系列相关文献线索,然后再根据检出的文献线索去获取原文%书目检索系统是汇集某个领域的二次文献信息的信息检索系统,用于检索相关文献信息线索。其手工检索阶段主要指文摘、题录、目录、索引等,计算机检索阶段则以书目数据库为核心,如各图书馆的0PAC即“联机公共目录查询系统"。 自从万维网出现以来,方兴未艾的Internet在图书馆的应用,使图书馆的书目检索服务范围得到了最广泛的深人和延伸。目前,笔者就国内大学图书馆使用较为普遍的部分自动化管理集成系统(南京大学图书馆书目检索系统、北京邮电大学图书馆书目检索系统和深圳大学图书馆书目检索系统)在Web环境下的书目检索功能、书目检索途经和书目检索条件进行考察和比较分析。 1图书馆网站调查 1.1南京大学图书馆书目检索系统 该系统使用的是江苏汇文软件有限公司的汇文系统,从图书馆首页—资源导航―馆藏纸本目录―馆藏书目查询。 1.1.1书目检索功能 该系统提供简单检索、全文检索、多字段检索(高级检索)和热门检索4项功能。 1.1.2书目检索途径 (1)简单检索界面以下拉列表方式完成单项选择,提供有题名、责任者、主题词、ISBN/ISSN、订购号、分类号、索书号、出版社、丛书名、题名拼音和责任者拼音共11个检索途经。 (2)全文检索界面提供有任意词、题名、责任者、主题词、索书号、出版社和丛书名7个检索途经,使用“并且”“或者”“不含”进行组配。 (3)多字段检索界面较为复杂,分左右两列设置了题名、责任者、丛书名、主题词、出版社、ISBN/ISSN,索书号和起始年代8项检索,这8个检索途径既可以进行单项检索,也可以进行自由组配。 (4)热门检索界面使用动态的效果提供热门检索词,如人类学、边城、生命等,可以查看30天内的热门词。 1.1.3书目检索条件 简单检索:文献类型,所有书刊、中文图书、西文图书、中文期刊和西文期刊5种。语种无。馆藏地点无。出版时间无。

DSP实验报告

实验0 实验设备安装才CCS调试环境 实验目的: 按照实验讲义操作步骤,打开CCS软件,熟悉软件工作环境,了解整个工作环境内容,有助于提高以后实验的操作性和正确性。 实验步骤: 以演示实验一为例: 1.使用配送的并口电缆线连接好计算机并口与实验箱并口,打开实验箱电源; 2.启动CCS,点击主菜单“Project->Open”在目录“C5000QuickStart\sinewave\”下打开工程文件sinewave.pjt,然后点击主菜单“Project->Build”编译,然后点击主菜单“File->Load Program”装载debug目录下的程序sinewave.out; 3.打开源文件exer3.asm,在注释行“set breakpoint in CCS !!!”语句的NOP处单击右键弹出菜单,选择“Toggle breakpoint”加入红色的断点,如下图所示; 4.点击主菜单“View->Graph->Time/Frequency…”,屏幕会出现图形窗口设置对话框 5.双击Start Address,将其改为y0;双击Acquisition Buffer Size,将其改为1; DSP Data Type设置成16-bit signed integer,如下图所示; 6.点击主菜单“Windows->Tile Horizontally”,排列好窗口,便于观察 7.点击主菜单“Debug->Animate”或按F12键动画运行程序,即可观察到实验结果: 心得体会: 通过对演示实验的练习,让自己更进一步对CCS软件的运行环境、编译过程、装载过程、属性设置、动画演示、实验结果的观察有一个醒目的了解和熟悉的操作方法。熟悉了DSP实验箱基本模块。让我对DSP课程产生了浓厚的学习兴趣,课程学习和实验操作结合为一体的学习体系,使我更好的领悟到DSP课程的实用性和趣味性。

数字逻辑课程设计(定时器)

一.内容摘要: 定时器的设计: 设计一个0~60分钟之内的定时器,定时开始的时候红指示灯亮,结束的时候绿指示亮,可以随意以分钟为单位,在六十分钟的范围内设定定时时间,随着定时的开始,显示器开始显示时间,即依次显示出0,1,2,3,4….直到定时结束,当定时结束的时候进行手动清零。首先设计一个秒脉冲发生器,一个计数电路,一个比较电路,然后对电路进行输出。当开始定时之前手动对要定时的时间进行预置数,然后运用秒脉冲发生器输入脉冲,用计数器对脉冲的个数进行计数,把编码器的数据与脉冲的个数通过数值比较器进行比较,最后按照要求进行红绿等输出表示定时的状态是正在进行定时,或者是已经定时结束,在定时的过程中显示定时的时间。 二.方案的论证与选择: 方案1 例如设计一个六十分钟的定时器,就需要六十进制的分钟计数器。设计秒脉冲发生器,当计数器完成六十分钟的记数时,就手动清零。需要设定其他的时间时, 只需将计数器的进制改变一下就行。这个方案只适用于特定的定时器,设定的时间 不变。如果本课设用此方案,就需要设计从1——60进制的计数器,工程量太大。 方案2, 设计一个定时器,可以在0~60分之间一分钟为单位任意可调,定时开始的时候红灯亮,定时结束的时候绿灯亮,定时结束之后手动清零,满足设计的要求,故本次课程设计中采用的是这种设计方案。

三.总设计思想框图: 总体的完整电路图: 就是将各个单元电路用导线连接起来,然后进行仿真处理,开始进行定时的时候红指示灯亮。图中所示的是定时为16分钟的定时仿真结果,完整的电路图。

2.5 V 图2 四.单元电路的设计与参数的计算 1.秒脉冲发生器的选择: (1)采用石英晶体的多谐振荡器,在RC环形振荡器电路中,接入RC可以获得较小 的频率,而且通过RC的调节可以调节频率,用于对频率稳定性要求比较高的电路,

北邮移动通信课程设计

信息与通信工程学院移动通信课程设计 班级: 姓名: 学号: 指导老师: 日期:

一、课程设计目的 1、熟悉信道传播模型的matlab 仿真分析。 2、了解大尺度衰落和信干比与移动台和基站距离的关系。 3、研究扇区化、用户、天线、切换等对路径损耗及载干比的影响。 4、分析多普勒频移对信号衰落的影响,并对沿该路径的多普勒频移进行仿真。 二、课程设计原理、建模设计思路及仿真结果分析 经过分析之后,认为a 、b 两点和5号1号2号在一条直线上,且小区簇中心与ab 连线中心重合。在此设计a 、b 之间距离为8km ,在不考虑站间距的影响是默认设计基站间距d 为2km ,进而可求得a 点到5号基站距离为2km ,b 点到2号基站距离为2km ,则小区半径为3/32km,大于1km ,因而选择传播模型为Okumura-Hata 模型,用来计算路径损耗;同时考虑阴影衰落,本实验仿真选择阴影衰落是服从0平均和标准偏差8dB 的对数正态分布。实验仿真环境选择matlab 环境。 关于路径损耗——Okumura-Hata 模型是根据测试数据统计分析得出的经验公式,应用频率在150MHz 到1 500MHz 之间,并可扩展3000MHz;适用于小区半径大于1km 的宏蜂窝系统,作用距离从1km 到20km 经扩展可至100km;基站有效天线高度在30m 到200m 之间,移动台有效天线高度在1m 到10m 之间。其中Okumura-Hata 模型路径损耗计算的经验公式为: terrain cell te te te c p C C d h h h f L ++-+--+=lg )lg 55.69.44()(lg 82.13lg 16.2655.69α 式中,f c (MHz )为工作频率;h te (m )为基站天线有效高度,定义为基站天线实际海拔高度与天线传播范围内的平均地面海拔高度之差;h re (m )为终端有效天线高度,定义为终端天线高出地表的高度;d (km ):基站天线和终端天线之间的水平距离;α(h re ) 为有效天线修正因子,是覆盖区大小的函数,其数字与所处的无线环境相关,参见以下公式: 22(1.1lg 0.7)(1.56lg 0.8)(), 8.29(lg1.54) 1.1(), 300MHz,3.2(lg1.75) 4.97(), 300MHz,m m m m f h f dB h h dB f h dB f α---??-≤??->?中、小城市()=大城市大城市 C cell :小区类型校正因子,即为:

课程设计实验报告 北邮

课程设计实验报告 -----物联网实验 学院:电子工程学院班级:2011211204 指导老师:赵同刚

一.物联网概念 物联网是新一代信息技术的重要组成部分。物联网的英文名称叫“The Internet of things”。顾名思义,物联网就是“物物相连的互联网”。这有两层意思:第一,物联网的核心和基础仍然是互联网,是在互联网的基础上延伸和扩展的网络;第二,其用户端延伸和扩展到了任何物体与物体之间,进行信息交换和通信。因此,物联网的定义是:通过射频识别(RFID)、红外感应器、全球定位系统、激光扫描器等信息传感设备,按约定的协议,把任何物体与互联网相连接,进行信息交换和通信,以实现对物体的智能化识别、定位、跟踪、监控和管理的一种网络。 二.物联网作用 现有成熟的主要应用包括: —检测、捕捉和识别人脸,感知人的身份; —分析运动目标(人和物)的行为,防范周界入侵; —感知人的流动,用于客流统计和分析、娱乐场所等公共场合逗留人数预警; —感知人或者物的消失、出现,用于财产保全、可疑遗留物识别等; —感知和捕捉运动中的车牌,用于非法占用公交车道的车辆车牌捕捉; —感知人群聚集状态、驾驶疲劳状态、烟雾现象等各类信息。 三.物联网无线传感(ZigBee)感知系统 ZigBee是一种新兴的短距离、低功耗、低数据速率、低成本、低复杂度的无线网络技术。ZigBee在整个协议栈中处于网络层的位置,其下是由IEEE 802.15.4规范实现PHY(物理层)和MAC(媒体访问控制层),对上ZigBee提供了应用层接口。 ZigBee可以组成星形、网状、树形的网络拓扑,可用于无线传感器网络(WSN)的组网以及其他无线应用。ZigBee工作于2.4 GHz的免执照频段,可以容纳高达65 000个节点。这些节点的功耗很低,单靠2节5号电池就可以维持工作6~24个月。除此之外,它还具有很高的可靠性和安全性。这些优点使基于ZigBee的WSN广泛应用于工业控制、消费性电子设备、汽车自动化、家庭和楼宇自动化、医用设备控制等。 ZigBee的基础是IEEE802.15.4,这是IEEE无线个人区域网工作组的一项标准,被称作IEEE802.15.4(ZigBee)技术标准。ZigBee不仅只是802.15.4的名字。IEEE仅处理低级MAC

(新)高校图书馆应该对公众开放资料

高校怕开放图书馆影响图书馆对师生的服务,这种想法是认为高校图书馆是属于高校的而不是属于社会大众的.而高校本身就是社会的组成部分,那么高校也属于社会服务机构,担当传播知识的职能. 高校扩张为图书馆为图书馆扩张带来了机遇. 高校图书馆为例保证日常教学和科研的需要收集的文献具有广泛性,系统性,整性和专业性的特点.而且高校图书馆具有对文献开发和加工的能力和条件,比公共图书馆的时效性更强,可以作为商品出售给公众,获得社会效益和经济效益. 图书馆自身发展的需要.针对不同对象的需求进行信息的加工和收集,能够促使图书馆的信息服务更加完善,信息更加贴近社会,提高大学生素质.因为个人,企业的需求都是基于实践产生的. 图书馆的资源毕竟具有专业性的特点其实可以按照学历来定位对象. 是社会文献的重要补充 网络等科技技术的发展 国家法定假日开放时间为9点到16点公共图书馆开放时间短 近日,《国际先驱导报》与新浪网就“高校图书馆是否该向公众全面开放”举行联合调查,截止到2007年7月18日0点,共有近两千人参加调查。其中,在“你认为高校图书馆是否应该向公众全面开放?”的调查中,有75.99%的人选择“应该。高校没有独占书籍资源的权力,公众应该从高校图书馆中广泛获益。” 天津的王女士认为:“大学不该担心会被影响,能在上班之余还去看书的都是社会上的精英人才,一般的人你让他们去他们都没兴趣,大家看完书甚至还可以开个读书角,多多交流。” 6亿多册高校图书与中国公众距离遥远,这不仅是资源的浪费,而且,有人指出,这是否也是高校的一种资源垄断行为,甚至是知识歧视? 至于国外许多高校的开放式做法,陆教授说:“首先,国外大学图书馆为学生服务也是主流,服务教学科研是首要任务。另外,美国公立大学很多是社区学院。社区学院是直接服务社区公众的。许多社区学院立足于继续教育和终身教育。我的一个朋友在加拿大读一所社区大学,许多学生是工作后再去学一门技能的成年人,这样的学校必然会对社会公众开放。”只要是学校就一定有学生,无论学生是什么人.为什么社区学校开放给公众就可以,而普通大学就不可以? 对外开放的国外高校图书馆 “高校图书馆何时才能向社会开放”是许多人关心的问题。日前,首都图书馆联盟成立,并宣布清华、北大等26所高校图书 馆将逐步向社会开放的消息让许多人兴奋不已,零门槛、能够随心所欲地阅读各大高校图书馆内的书籍是他们一直以来的梦想。 在西方,高校图书馆向公众开放的例子数不胜数,凭借着齐全的功能、先进的设备、丰富的资源,它们成为公众在生活中学习、研究的有力帮手。 美国 敞开图书馆大门 西方国家的高校图书馆一直以来都重视社会化职能,而且这种社会化服务还不仅仅是允许借阅这么简单。据悉,在美国举办的 图书馆奖(包括高校图书馆)评奖中,获奖图书馆无一例外都要重视图书馆的社会教育。许多高校图书馆每年坚持在寒暑假期间举办 读书活动,并向当地学生开放,借此“给孩子们一个好的印象”。 美国著名的耶鲁大学就是很好的例子。大学图书馆采用全部开架的服务方式,无论是大学总馆、大学专业图书馆还是学科系(研 究中心、所)和学院图书馆,对校(系、院)外读者都是完全开放的,校外读者不必提供任何证件就可以与校内读者享有除外借图书 以外的同等权利,包括免费上网等。甚至,耶鲁大学图书馆已经成为游人必须参观的“景点”。人们进入其中,看到里面学生们的孜 孜不倦,难免会受到感动,也有坐下来一起阅读的冲动。 德国

北邮dsp软件实验报告

Matlab仿真实验 实验报告 学院:电子工程学院 专业:电子信息科学与技术 班级: 学号: 姓名:

时间:2015年12月23日 实验一:数字信号的FFT分析 1.实验目的 通过本次试验,应该掌握: (a)用傅里叶变换进行信号分析时基本参数的选择 (b)经过离散时间傅里叶变换和有限长度离散傅里叶变换后信号频谱上的区别,前者DTFT时间域是离散信号,频率域还是连续的,而DFT在两个域中都是离散的。(c)离散傅里叶变化的基本原理、特性,以及经典的快速算法(基2时间抽选法),体会快速算法的效率。 (d)获得一个高密度频谱和高分辨率频谱的概念和方法,建立频率分辨率和时间分辨率的概念,为将来进一步进行时频分析(例如小波)的学习和研究打下基础。(e)建立DFT从整体上可看成是由窄带相邻滤波器组成的滤波器组的概念,此概念的一个典型应用时数字音频压缩中的分析滤波器,例如DVD AC3和MPEG Audio。 2.实验容、要求及结果。 (1)离散信号的频谱分析: 设信号x(n)=0.001*cos(0.45n)+sin(0.3n)-cos(0.302n-) 此信号的0.3谱线相距很近,谱线0.45的幅度很小,请选择合适的序列长度N和窗函数,用DFT分析其频谱,要求得到清楚的三根谱线。 【实验代码】:

k=2000; n=[1:1:k]; x=0.001*cos(0.45*n*pi)+sin(0.3*n*pi)-cos(0.302*n*pi-pi/4); subplot(2,1,1); stem(n,x,'.'); title(‘时域序列'); xlabel('n'); ylabel('x(n)'); xk=fft(x,k); w=2*pi/k*[0:1:k-1]; subplot(2,1,2); stem(w/pi,abs(xk)); axis([0 0.5 0 2]); title('1000点DFT'); xlabel('数字频率'); ylabel('|xk(k)|'); 【实验结果图】:

北京邮电大学课设 基于MSP430的简单信号发生器的设计

基于MSP430的信号发生器 设计报告 学院:电子工程学院 班级:2013211212 组员:唐卓浩(2012211069) 王旭东(2013211134) 李务雨(2013211138) 指导老师:尹露

一、摘要 信号发生器是电子实验室的基本设备之一,目前各类学校广泛使用的是标准产品,虽然功能齐全、性能指标较高,但是价格较贵,且许多功能用不上。本设计介绍一款基于MSP430G2553 单片机的信号发生器。该信号发生器虽然功能及性能指标赶不上标准信号发生器,但能满足一般的实验要求,且结构简单,成本较低。本次需要完成的任务是以MSP430 LaunchPad 的单片机为控制核心、DAC 模块作为转换与按键电路作为输入构成的一种电子产品。MSP430 LaunchPad 单片机为控制核心,能实时的进行控制;按键输入调整输出状态,DAC0832将单片机输出的数字信号转化为模拟量,经运放放大后,在示波器上输出。在本次程序设计中充分利用了单片机内部资源,涉及到了中断系统、函数调用等。 关键字:信号发生器 MSP430单片机数模转换 二、设计要求 以msp430单片机为核心,通过一个DA (数字模拟)转换芯片,将单片机输出的方波、三角波、正弦波(数字信号)转换为模拟信号输出。提供芯片:msp430G2553、DAC0832、REF102、LM384、OP07。参考框图如下: Lauchpad MSP430 电位器 按键1 DA 转换DAC0832 放大输出LM384 按键N 按键2 AD …… 图1 硬件功能框图 1、基本要求 (1) 供电电压 VDD= 5V~12V ;(√) (2) 信号频率:5~500Hz(可调);(√) (3) 输出信号电压可调范围:≥0.5*VDD ,直流偏移可调:≥0.5*VDD ;(√) (4) 完成输出信号切换;(√) (5) 方波占空比:平滑可调20%~80%;(√) (6) 通带内正弦波峰峰值稳定度误差:≤±10%(负载1K )。(√)

北邮DSP实验报告

北京邮电大学 数字信号处理硬件实验 实验名称:dsp硬件操作实验姓名:刘梦颉班级: 2011211203 学号:2011210960 班内序号:11 日期:2012年12月20日 实验一常用指令实验 一、实验目的 了解dsp开发系统的组成和结构,熟悉dsp开发系统的连接,熟悉dsp的开发界面,熟 悉c54x系列的寻址系统,熟悉常用c54x系列指令的用法。 二、实验设备 计算机,ccs 2.0版软件,dsp仿真器,实验箱。 三、实验操作方法 1、系统连接 进行dsp实验之前,先必须连接好仿真器、实验箱及计算机,连接方法如下所示: 1)上电复位 在硬件安装完成后,接通仿真器电源或启动计算机,此时,仿真盒上的“红色小灯”应 点亮,否则dsp开发系统与计算机连接有问题。 2)运行ccs程序 先实验箱上电,然后启动ccs,此时仿真器上的“绿色小灯”应点亮,并且ccs正常启 动,表明系统连接正常;否则仿真器的连接、jtag接口或ccs相关设置存在问题,掉电,检 查仿真器的连接、jtag接口连接,或检查ccs相关设置是否正确。 四、实验步骤与内容 1、实验使用资源 实验通过实验箱上的xf指示灯观察程序运行结果 2、实验过程 启动ccs 2.0,并加载“exp01.out”;加载完毕后,单击“run”运行程序; 五、实验结果 可见xf灯以一定频率闪烁;单击“halt”暂停程序运行,则xf灯停止闪烁,如再单击 “run”,则“xf”灯又开始闪烁; 关闭所有窗口,本实验完毕。 六、源程序代码及注释流程图: 实验二资料存储实验 一、实验目的 掌握tms320c54的程序空间的分配;掌握tms320c54的数据空间的分配;熟悉操作 tms320c54数据空间的指令。 二、实验设备 计算机,ccs3.3版软件,dsp仿真器,实验箱。 三、实验系统相关资源介绍 本实验指导书是以tms32ovc5410为例,介绍相关的内部和外部内存资源。对于其它类型 的cpu请参考查阅相关的资料手册。下面给出tms32ovc5410的内存分配表: 对于存储空间而言,映像表相对固定。值得注意的是内部寄存器与存储空间的映像关系。 因此在编程应用时这些特定的空间不能作其它用途。对于篇二:31北邮dsp软件实验报告北京邮电大学 dsp软件

555简易电子琴数字逻辑课程设计报告 正文

目录 第一章系统概述 (1) 1.1 系统开发背景 (1) 1.2 系统开发意义 (1) 1.3 EWB在数字电子电路综合课程设计中的应用 (1) 第二章555简易电子琴设计 (2) 2.1 设计题目 (3) 2.2 设计的目的与要求 (3) 2.3 分析任务 (3) 2.3.1设计总开关模块 (3) 2.3.2设计控制模块 (3) 2.3.3设计琴键模块 (3) 2.3.4设计扬声器模块 (3) 2.4 需用器件的选择 (3) 2.4.1 555定时器 (3) 2.4.2 电容 (6) 2.4.3 电阻 (6) 2.5 总体说明 (6) 2.6 单元模块 (6) 第三章555简易电子琴的实现 (8) 3.1 单元模块的实现 (8) 3.2 电子琴的完整电路设计 (9) 3.3 参考文献 (17)

第一章系统概述 1.1系统开发背景 随着电子技术的不断发展,模拟电子技术的缺点和局限性越发明显,模拟电子技术的不稳定性、易干扰性等大大限制了其应用,且有阻碍电子 技术发展的趋势。19世纪兴起的数字电路以其先天的便捷、稳定的优点在 现代电子技术电路中占有越来越重要的地位。 数字电路与模拟电路相比有显而易见的稳定性。近年来,数字电路又有了巨大的发展。可编程逻辑器件(PAL、GAL等)的发展和普及最终使IC 的设计面向了用户(这是模拟电路无法做到的),而这毫无疑问会给用户带来巨大的便捷,从而奠定它在电子电路中的对位。 随着集成技术的进一步提高,各种新技术的出现和应用,人类历史横跨数码时代向更进一步发展已出现在各大型相关企业的宏伟蓝图中。新世 纪里谁掌握了新技术谁就得到了获胜的资本,也仅仅是资本而矣。新世纪 里电子行业的发展速度令人窒息,闻名的摩尔定律更把许多人威吓在门外。 可以展望,由数字构成的新世界即将出现。将是人类文明的又一飞跃。 1.2系统开发意义 555简易电子琴是一种用数字电路技术实现数字显示装置,与机械式数字显示装置相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。555简易电子琴从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做555简易电子琴就是为了了解555定时器的原理,从而学会制作555简易电子琴,而且通过555简易电子琴的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。 1.3EWB在数字电子电路综合课程设计中的应用

移动通信课程报告

移动通信工程课程设计报告题目:GSM网络测试及数据分析 系别 专业班级 学生姓名 学号 指导教师 提交日期 2013年11月19日

目录 一、设计目的 (1) 二、设计要求和指标 (2) 三、设计内容 (3) 3.1 GSM网络分析 (3) 3.1.1 GSM网络基本原理 (3) 3.1.2 GSM网络分析 (3) 3.2 优化调整方案 (6) 四、TEMS测试 (7) 五、总结 (11) 六、主要参考文献 (12) 附录1: (13) 附录2: (14)

一、设计目的 移动通信课程设计是通信工程专业课程。本课程设计练习移动通信的一般原理与组网技术,是一门实用性很强的课程。设置本课程的目的是使学生通过本课程设计之后,对移动通信的基本概念、基本原理和组网技术有较全面的了解和领会,应能应用移动通信的原理与技术分析阐释常见移动通信方式中信息传输的发送与接收原理,应能分析设计一些简单移动通信系统,为移动通信系统的管理维护、研究和开发打下必要的理论基础和技能。

二、设计要求和指标 对正式投入运行的GSM网络进行参数采集、数据分析、找出影响网络运行质量的原因,并且通过参数调整或采取某些技术手段使网络达到最佳运行状态,使现有网络资源获取最佳效益,同时也对GSM网络今后的维护及规划建设提出合理化建议。 在对数据进行详细采集、分析和研究后,常常会涉及到天馈系统的调整、基站的调测、频率规划的调整、系统参数的调整、话务均衡以及增加一些微蜂窝等优化方案实施活动。 1、天馈系统调整 2、基站调测 3、频率规划调整 4、参数调整 5、话务均衡 6、利用微蜂窝完善网络

2015年北邮数字信号处理软件实验报告

数字信号处理软件实验 MATLAB 仿真 2015年12月16日

实验一:数字信号的 FFT 分析 ● 实验目的 通过本次实验,应该掌握: (a) 用傅立叶变换进行信号分析时基本参数的选择。 (b) 经过离散时间傅立叶变换(DTFT )和有限长度离散傅立叶变换(DFT )后信号频谱上的区别,前者 DTFT 时间域是离散信号,频率域还是连续的,而 DFT 在两个域中都是离散的。 (c) 离散傅立叶变换的基本原理、特性,以及经典的快速算法(基2时间抽选法),体会快速算法的效率。 (d) 获得一个高密度频谱和高分辨率频谱的概念和方法,建立频率分辨率和时间分辨率的概念,为将来进一步进行时频分析(例如小波)的学习和研究打下基础。 (e) 建立 DFT 从整体上可看成是由窄带相邻滤波器组成的滤波器组的概念,此概念的一个典型应用是数字音频压缩中的分析滤波器,例如 DVD AC3 和MPEG Audio 。 ● 实验内容及要求 ? 离散信号的频谱分析 设信号 此信号的0.3pi 和 0.302pi 两根谱线相距很近,谱线 0.45pi 的幅度很小,请选择合适的序列长度 N 和窗函数,用 DFT 分析其频谱,要求得到清楚的三根谱线。 ? DTMF 信号频谱分析 用计算机声卡采用一段通信系统中电话双音多频(DTMF )拨号数字 0~9的数据,采用快速傅立叶变换(FFT )分析这10个号码DTMF 拨号时的频谱。 00010450303024().*cos(.)sin(.)cos(.)x n n n n ππππ=+--

●MATLAB代码及结果 ?离散信号的频谱分析 clf; close all; N=1000; n=1:1:N; x=0.001*cos(0.45*n*pi)+sin(0.3*n*pi)-cos(0.302*n*pi-pi/4); y=fft(x,N); mag=abs(y); w=2*pi/N*[0:1:N-1]; stem(w/pi,mag); axis([0.25 0.5 0 2]); xlabel('频率'); ylabel('X(k)'); grid on;

数字逻辑课程设计报告

数字逻辑 课程设计报告 —多功能数字钟的设计与实现 姓名: 专业班级:通信1002 学号:31006010 指导老师:曾宇 设计日期:2012.06.20~2012.06.24

一、设计目的 1、学会应用数字系统设计方法进行电路设计; 2、学习使用QuartusII 9.0, 进一步提高软件的开发应用能力,增强自己的动手实践能力。 3、培养学生书写综合实验报告的能力。 二、设计任务及要求 实现多功能数字钟的设计,主要有以下功能: 1、记时、记分、记秒 2、校时、校分、秒清0 3、整点报时 4、时间正常显示 5、闹时功能(选做) 三、设计思路 3.1 计时模块 3.1.1 设计原理 计时模块如图3.1.1所示,其中计时用60进制计数器,计分和计秒用24进制计数器。 图3.1.1 计时间模块 计时间过程: 计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号; 计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位;计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。 计数器的设计: 3.1.2 设计程序 编程分别设计24、60进制计数器,计数状态以BCD码形式输出。 24进制计数器源程序:

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FEN24 is port(en,clk:in std_logic; ----高电平有效的使能信号/输入时钟 co:out std_logic; h1,h0:out std_logic_vector(3 downto 0)); ----时高位/低位 end FEN24; architecture behave of FEN24 is begin process(clk) variable cnt1,cnt0:std_logic_vector(3 downto 0); ----记数 begin if(en='0')then ---“使能”为0 cnt0:="0010"; cnt1:="0001"; elsif clk'event and clk='1'then ---上升沿触发 if cnt1="0010"and cnt0="0011"then cnt0:="0000"; ----高位/低位同时为0时 cnt1:="0000"; co<='1'; else co<='0'; if cnt0="1001"then cnt0:="0000"; cnt1:=cnt1+1; else cnt0:=cnt0+1; -----高位记数累加 end if; end if; end if; h1<=cnt1; h0<=cnt0; end process; end behave; 60进制计数器源程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FEN60 is

北邮移动通信实验报告

信息与通信工程学院移动通信实验报告 班级: 姓名: 学号: 序号: 日期:

一、实验目的 1移动通信设备观察实验 1.1RNC设备观察实验 a) 了解机柜结构 b) 了解RNC机框结构及单板布局 c) 了解RNC各种类型以及连接方式 1.2基站设备硬件观察实验 a) 初步了解嵌入式通信设备组成 b) 认知大唐移动基站设备EMB5116的基本结构 c) 初步分析硬件功能设计 2网管操作实验 a) 了解OMC系统的基本功能和操作 b) 掌握OMT如何创建基站 二、实验设备 TD‐SCDMA移动通信设备一套(EMB5116基站+TDR3000+展示用板卡)、电脑。 三、实验内容 1TD-SCDMA系统认识 TD-SCDMA是英文Time Division-Synchronous Code Division Multiple Access(时分同步码分多址)的简称,TD-SDMA是由中国提出的第三代移动通信标准(简称3G),也是ITU批准的三个3G标准中的一个,以我国知识产权为主的、被国际上广泛接受和认可的无线通信国际标准。是我国电信史上重要的里程碑。 TD-SCDMA在频谱利用率、业务支持灵活性、频率灵活性及成本等方面有独特优势。TD-SCDMA由于采用时分双工,上行和下行信道特性基本一致,因此,基站根据接收信号估计上行和下行信道特性比较容易。TD-SCDMA使用智能天线技术有先天的优势,而智能天线技术的使用又引入了SDMA的优点,可以减少用户间干扰,从而提高频谱利用率。TD-SCDMA还具有TDMA的优点,可以灵活设置

上行和下行时隙的比例而调整上行和下行的数据速率的比例,特别适合因特网业务中上行数据少而下行数据多的场合。但是这种上行下行转换点的可变性给同频组网增加了一定的复杂性。TD-SCDMA是时分双工,不需要成对的频带。因此,和另外两种频分双工的3G标准相比,在频率资源的划分上更加灵活。 图1 3G网络架构 2硬件认知 2.1 RNC设备认知 TDR3000整套移动通信设备机框外形结构如图2所示。

北邮dsp软件matlab仿真实验报告

题目: 数字信号处理MATLAB仿真实验 姓名 学院 专业 班级 学号 班内序号

实验一:数字信号的 FFT 分析 1、实验内容及要求 (1) 离散信号的频谱分析: 设信号 此信号的0.3pi 和 0.302pi 两根谱线相距很近,谱线 0.45pi 的幅度很小,请选择合适的序列长度 N 和窗函数,用 DFT 分析其频谱,要求得到清楚的三根谱线。 (2) DTMF 信号频谱分析 用计算机声卡采用一段通信系统中电话双音多频(DTMF )拨号数字 0~9的数据,采用快速傅立叶变换(FFT )分析这10个号码DTMF 拨号时的频谱。 2、实验目的 通过本次实验,应该掌握: (a) 用傅立叶变换进行信号分析时基本参数的选择。 (b) 经过离散时间傅立叶变换(DTFT )和有限长度离散傅立叶变换(DFT ) 后信号频谱上的区别,前者 DTFT 时间域是离散信号,频率域还是连续的,而 DFT 在两个域中都是离散的。 (c) 离散傅立叶变换的基本原理、特性,以及经典的快速算法(基2时间抽选法),体会快速算法的效率。 (d) 获得一个高密度频谱和高分辨率频谱的概念和方法,建立频率分辨率和时间分辨率的概念,为将来进一步进行时频分析(例如小波)的学习和研究打下基础。 (e) 建立 DFT 从整体上可看成是由窄带相邻滤波器组成的滤波器组的概念,此概念的一个典型应用是数字音频压缩中的分析滤波器,例如 DVD AC3 和MPEG Audio 。 3、程序代码 (1) N=5000; n=1:1:N; x=0.001*cos(0.45*pi*n)+sin(0.3*pi*n)-cos(0.302*pi*n-pi/4); y=fft(x,N); magy=abs(y(1:1:N/2+1)); k=0:1:N/2; w=2*pi/N*k; stem(w/pi,magy) axis([0.25,0.5,0,50]) (2) column=[1209,1336,1477,1633]; line=[697,770,852,941]; fs=10000; N=1024; 00010450303024().*cos(.)sin(.)cos(.)x n n n n ππππ=+--

相关文档
相关文档 最新文档