文档库 最新最全的文档下载
当前位置:文档库 › 三层电梯课程设计实验报告

三层电梯课程设计实验报告

三层电梯课程设计实验报告
三层电梯课程设计实验报告

液体混合装置课程设计实验报告

系别电气工程系

班级本自动化

学号

学生姓名

指导老师

组员

摘要

对于这次的课程设计的必选项:三层电梯控制系统的模拟。一开始,我们是认为这是个比较基础的程序设计,所以就把重心倾向了选项水塔水位控制模拟中。可是在水塔的编程和查找文献中,我们小组发现要想把程序顺利的编制并让它按要求正常工作,更好的理解这门实验开展的目的。所以,在实验的过程中,我们决定从基础做起。而后期的水塔系统也因为有了前期三层电梯设计的进而开展得相当顺利。从这两个星期的不断实验中,让我们对可编程控制器这个词有了比较深层次的理解。可编程序控制器,英文称Programmable Logical Controller,简称PLC。它主要由CPU模块、输入模块、输出模块和编程器组成,是微机技术与传统的继电接触控制技术相结合的产物,它克服了继电接触控制系统中的机械触点的复杂接线、可靠性低、功耗高、通用性和灵活性差的缺点,充分利用了微处理器的优点,又照顾到现场电气操作维修人员的技能与习惯,特别是PLC的程序编制,不需要专门的计算机编程语言知识,而是采用了一套以继电器梯形图为基础的简单指令形式,使用户程序编制形象、直观、方便易学;调试与查错也都很方便。

CPU模块又叫中央处理单元或控制器,它主要由微处理器(CPU)和存储器组成。它用以运行用户程序、监控输入/输出接口状态、作出逻辑判断和进行数据处理,即读取输入变量、完成用户指令规定的各种操作,将结果送到输出端,并响应外部设备(如编程器、电脑、打印机等)的请求以及进行各种内部判断等。PLC的内部存储器有两类,一类是系统程序存储器,主要存放系统管理和监控程序及对用户程序作编译处理的程序,系统程序已由厂家固定,用户不能更改;另一类是用户程序及数据存储器,主要存放用户编制的应用程序及各种暂存数据和中间结果。

关于这次的实验,我们组通过查阅图书馆的相关资料,也选择了网上阅览的方式同步进行。在我们已有知识的基础上对PLC的发展历程有了更全面的了解。1968年美国通用汽车公司提出取代继电器控制装置的要求。1969 年,美国数字设备公司研制出了第一台可编

程控制器 PDP—14 ,在美国通用汽车公司的生产线上试用成功,首次采用程序化的手段应用于电气控制,这是第一代可编程序控制器,称Programmable,是世界上公认的第一台PLC。

1969年,美国研制出世界第一台PDP-14

1971年,日本研制出第一台DCS-8

1973年,德国研制出第一台PLC

1974年,中国研制出第一台PLC

关于这个实验我们组通过找到的资料,在理清思路明确目标的基础上做出了清晰的规划,设计了严谨的步骤,希望这样可以让我们的实验过程中能够有条不紊,尽量减少错落的环节,做到严谨,完整,正确。

目录

一、目的

二、实验设备

三、三层电梯的原理和任务

五、结论

六、心得与体会

目的

电梯作为高层建筑中垂直运行的交通工具已于人们的日常生活密不可分,是建筑物中的永久性垂直交通工具。电梯实际上是一个人机交互式的控制系统,单纯用顺序控制或逻辑控制是不能满足控制要求的,而PLC控制的电梯运行可靠性高,程序设计方便灵活,抗干扰能力强、运行稳定可靠等特点,所以现在的电梯控制系统广泛采用可编程控制器来实现。在工艺加工的最初,把多种原料在合适的实践和条件下进行需要加工得以得到产品一直都是在人监控或操作下进行的但是现在随着时代的发展,这些方式已经不能满足工业生产的实际需要。实际生产中需要更精确、更便捷的控制装置。可编程控制器液体混合控制系统的特点:(1)系统自动工作;(2)控制的单周期运行方式;(3)启动后能自动完成下一个周期的工作,并循环。我们采用的PLC具有小型化、高速度、高性能等特点。这次设计可以使我们熟练使用置位和复位等各条基本指令,通过对工程实例的模拟,熟练地掌握PLC的编程和程序调试。

实验设备

1、THSMS-A型、THSMS-B型实验装置或THSMS-1型、THSMS-2型实验箱一台并在在电梯控制单元完成。

2、安装了STEP7-Micro/WIN32编程软件的计算机一台

3、PC/PPI编程电缆一根

4、锁紧导线若干

三层电梯控制系统的模拟的原理和任务

1原理电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在二层轿箱外呼叫时,必须按二层上升呼叫按钮,电梯才响应呼叫(从一层运行到二层),按二层下降呼叫按钮无效;反之,若电梯停在三层,在二层轿箱外呼叫时,必须按二层下降呼叫按钮,电梯才响应呼叫(从三层运行到二层),按二层上升呼叫按钮无效。

2任务

1.原理:

2.任务:(1)分析电路图(2)画出I/O分配表(3)外部接线图(4)梯形图(5)程序

3.程序设计及工作过程分析

注意事项:

1、通过对工程实例的模拟,熟练地掌握PLC的编程和程序调试方法。

2、进一步熟悉PLC的I/O连接。

3、熟悉三层楼电梯采用轿厢外按钮控制的编程方法

三层电梯面板原图:

程序流程图如下:(流程图就是对于算法的图形化描述,可以很直观的反映出一个算法的流程)

电路实物图如下面所示:

I/O分配表:

外部接线图

梯形图

梯形图设计规则:

(1)触点应画在水平线上,不能画在垂直分支上。应根据自左至右、自上而下的原则和对输出线圈的几种可能控制路径来画。

(2)不包含触点的分支应放在垂直方向,不可放在水平位置,以便于识别触点的组合和对输出线圈的控制路径。

(3)在有几个串联回路相并联时,应将触头多的那个串联回路放在梯形图的最上面。在有几个并联回路相串联时,应将触点最多的并联回路放在梯形图的最左面。这种安排,所编制的程序简洁明了,语句较少。

(4)不能将触点画在线圈的右边,只能在触点的右边接线圈。

程序如下:

0 LD I0.2 11 LD I0.4

1 O Q0.7 1

2 A Q0.3

2 O I0.

3 13 OLD

3 AN I1.1 1

4 AN I1.0

4 AN I1.2 1

5 AN I1.2

5 = Q0.7 1

6 = Q0.6

6 LD I0.1 1

7 LD I0.0

7 O Q0.6 18 O Q0.5

8 LD I0.5 19 O I0.6

9 A Q0.4 20 AN I0.7

10 OLD 21 AN I1.2

22= Q0.5 46 O Q0.7 23 LD I1.1 47 OLD

24 LD I0.1 48 AN Q0.4

25 O I0.0 49 AN I1.2

26 O I0.5 50 LD I1.0

27 O I0.3 51 = Q0.1

28 ALD 52 LD I0.7

29 LD Q0.4 53 = Q0.0

30 AN I0.7

31 AN I1.0

32O Q0.5

33 OLD

34 AN Q0.3

35 AN Q1.2

36 = Q0.4

37 LD I0.7

38 LD I0.2

39 O I1.0

40 O I0.6

41 O I0.4

42 ALD

43 LD Q0.3

44 AN I1.0

45 AN I1.1

操作图中进行图如下:

程序设计及工作过程分析:

(1)呼叫接收控制

接受每个呼叫按钮(包括内部和外部的呼叫)的呼叫命令,并做出相应的响应。

(2)楼层呼叫控制

电梯停在某一层(例如2层)时,此时按动该层的呼叫按钮(上呼叫或下呼叫),则相当于发出打开电梯门的命令,进行打开们的动作过程;若此时电梯的轿箱不在该层(在1、3层),则等到电梯门关门以后,按照不换向原则控制电梯的向上或向下运行。

(3)运行方向控制

电梯运行的不换向原则是指电梯优先响应不改变现在电梯运行方向的呼叫,知道这批命令全部响应完毕后才响应使电梯反向运行的呼叫。

(4)楼层到达控制

电梯在每一层都有1个行程开关,当电梯碰到某层的行程开关时,表示电梯已经到达高层。

(5)呼叫按钮控制

当按动某个呼叫按钮后,相应的呼叫指示灯亮并保持,直到电梯响应该呼叫为止。

(6)电梯门控制

当电梯停在某层时,在电梯内部挥动开门按钮,则电梯门打开,按动电梯内部的关门按钮,则电梯门关闭。但在电梯运行期间是不能打开的。

(7)楼层指示灯控制

当电梯运行到某层后,相应的楼层指示灯亮,直到电梯运行到前方一层时楼层指示灯改变。

结论:

本次设计以西门子S7-200的PLC为核心,辅以必要的外围电路。

这次的PLC课程设计是非常难得的一次理论和实践的机会,通过这次的课程设计,加强了我动手、思考和解决问题的能力。在这两个星期的学习、设计过程中我感触颇深。使我对抽象的理论有了具体的认识。在这次的设计中,我担任的是辅助队友写出程序,辅助记录设计过程的角色。在这个设计到成功运作的过程中我们遇到了许多困难,通过对自身的反思,了解了自身的不足。实验中暴露出我们在理论学习中所存在的问题,有些理论知识还处于懵懂状态,还有遗忘率较高的问题也没有得到解决。

这次我将正视自己的不足,学会了通过去翻查资料和询问老师,增强自身的理论知识,改正自己的学习态度,做到把理论知识运用到实践中。这次的课程设计,还使我了解到PLC控制技术在工业应用和工业生产中的重要地位。因此,学好这门课程是十分重要的。其次,这次课程设计提高了我的团队合作水平,使我们配合更加默契。

我觉得做课程设计,同时也是对课本知识的巩固和加强,并且对我们以后的学习有更大的帮助。感谢老师给力我们这么宝贵的一个机会。

参考文献:[1]蔡红斌.电气与PLC控制技术[M].北京:清华大学出版社.2006.

[2]高钦和.PLC应用开发案例精选(第2版)[M].北京:人民邮电出版社,2008.

[3]陈建明.电气控制与PLC应用(第2版)[M].北京:电子工业出版社.2010.

PLC课程设计课题——三层电梯模型PLC控制系统设计与调试

仅供个人参考 一、控制要求: 1.系统应具备:有司机、无司机、消防三种工作模式。 2.系统应具备下列几项控制功能: 1)自动响应层楼召唤信号(含上召唤和下召唤)。 2)自动响应轿厢服务指令信号。 3)自动完成轿厢层楼位置显示(二进制方式)。 4)自动显示电梯运行方向。 5)具有电梯直达功能和反向最远停站功能。 3.系统提供的输入控制信号: AYS 向上行驶按钮 AYX 向下行驶按钮 YSJ 有/无司机选择开关 1YC 一楼行程开关 2YC 二楼行程开关 3YC 三楼行程开关 A1J 一楼指令按钮 A2J 二楼指令按钮 A3J 三楼指令按钮 AJ 指令专用开关(直驶) ZXF 置消防开关 A1S 一楼上召唤按钮 A2S 二楼上召唤按钮 A2X 二楼下召唤按钮 A3S 三楼上召唤按钮 A3X 三楼下召唤按钮 4.系统需要输出的开关控制信号: KM 开门显示 GM 关门显示 MGB 门关闭显示 DCS 上行显示 DCX 下行显示 S 上行继电器(控制电动机正转) X 下行继电器(控制电动机反转) YX 运行显示 A LED七段显示器a段发光二极管 B LED 七段显示器 b 段发光二极管 C LE D 七段显示器 c 段发光二极管 D LED 七段显示器 d 段发光二极管 E LED 七段显示器 e 段发光二极管 F LED 七段显示器 f 段发光二极管 G LED七段显示器g段发光二极管 1DJA 一楼指令信号登记显示 2DJA 二楼指令信号登记显示 3DJA 三楼指令信号登记显示 1DAS 一楼上召唤信号登记显示 仅供个人参考 2DAS 二楼上召唤信号登记显示

2DAX 二楼下召唤信号登记显示 3DAS 三楼上召唤信号登记显示 3DAX 三楼下召唤信号登记显示 二、课题要求: 1.按题意要求,画出PLC 端子接线图及控制梯形图。 2.完成PLC 端子接线工作, 并利用编程器输入梯形图控制程序,完成调试。 3. 完成课程设计说明书 三、答辩问题: 1.阐明程序设计思想及工作流程。 2.当层楼数增加,开关量输入和输出的点数将作如何变化? 3.若需要电梯只服务于奇数楼层,梯形图将作如何变换? 4.若需要电梯只服务于偶数层楼,梯形图将作如何变换? 5.若正常运行方式作为方式A ,上述3、4 题运行方式作为方式B 、方式C 、方式 D , 如何采用两个输入开关来任选其中一个作为当前运行方式? 6.电梯控制中清除召唤登记的条件是什么? 7.电梯控制中清除指令登记的条件是什么? 线路部分

PLC三层电梯实验报告

题目:三层电梯实验 一、实验目的 1、熟练地掌握PLC的编程和程序调试方法。 2、进一步熟悉PLC的I/O连接。 3、熟悉变频器的使用。 二、实验设备 名称类型数量名称类型数量PLC DVP20SX211 R 1 以太网模块DVPEN01-SL 1 网络模块DVPDNET-SL 1 远程I/O模块DVP16SP11T 1 台达VFD变频器VFD002EL21 A 1 台达RTU-DNET RTU-DNET 1 台达电源DVPPS02 1 三层电梯模型 1 人机界面DOP-10E615 1 计算机 1 三、实验内容 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯箱内设有楼层内选按钮,用以选择需停靠的楼层。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在二层轿箱外呼叫时,必须按二层上升呼叫按钮,电梯才响应呼叫(从一层运行到二层),按二层下降呼叫按钮无效;反之,若电梯停在三层,在二层轿箱外呼叫时,必须按二层下降呼叫按钮,电梯才响应呼叫(从三层运行到二层),按二层上升呼叫按钮无效。

PLC 主机输入输出如表6-1所示。 表6-1 plc主机输入输出 名称输入点名称输出点箱内三层呼叫X0/M50 方向上Y0 箱内二层呼叫X1/M51 方向下Y1 箱内一层呼叫X2/M52 箱内三层呼叫指示Y2 手动开门X3/M53 箱内二层呼叫指示Y3 手动关门X4/M54 箱内一层呼叫指示Y4 一层上呼叫X5/M55 开门指示Y5 三层下呼叫X6/M56 二层上呼叫X7/M57 远程模块输入输出如表6-2所示。 表6-2 DVP-16SP输入输出 名称输入点名称输出点二层下呼叫X0/M110 关门指示Y0/M70 一层到位限位开关X1/M111 一层呼叫指示Y1/M71 二层到位限位开关X2/M112 三层呼叫指示Y2/M72 三层到位限位开关X3/M113 二层上呼叫指示Y3/M73 上限位X4 二层下呼叫指示Y4/M74 下限位X5 蜂鸣器Y5 X6 正转Y6/M76 X7 反转Y7/M77 电梯模型原理图如图6-1所示。 图6-1 电梯模型原理图

基于plc的三层电梯控制系统设计

摘要 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用PLC组成的控制系统可以很好地解决上述问题。本论文通过讨论电梯控制系统的组成,阐述可编程控制器(用三菱PLC编程的程序控制方式,提出了三层电梯的程、组成,列出了具体的主要硬件电路、电梯的控制梯形图及指令表。并给出了系统组成框图和程序流程图,在分析、处理随机信号逻辑关系的基础上,提出了计了一套完整的电梯控制系统方案。触点多,故障率高、可靠性差、安装调试周期长、维修工作量大、接线复杂等缺点。使电梯运行更加安全、方便、舒适。在PLC课程设计中,我组设计了一个三层电梯控制系统,并且将西门子公司S7-200系列可编程控制器与其结合并应用起来,在学完《电气控制与PLC应用》课程后,我们在设计过程中较为得心应手,不至于从头开始。整个过程包括了方案讨论,程序设计,程序修改,上机调试等,在程序设计方面花了比较多的时间,主要考虑到电梯分别停在一层、二层和三层时在其他楼层呼叫等各种情况。每当遇到困难时,我组都积极与老师联系讨论,深入分析研究问题,在整个过程中,我与我的组员都相互配合,相互学习。 关键字:PLC;电梯;升降;梯形图;系统组成框图

In this paper The elevator is an indispensable means of transport for the high-rise building, used for vertical transporting passengers and cargo, the traditional elevator control system mainly adopts relay - contactor to control, its shortcomings is the number of contact, such as high failure rate and poor reliability, maintenance workload is big, and composed of PLC control system is a good way to solve the above problems. Through discussing the composition of the elevator control system, this paper expounds the programmable controller (with mitsubishi PLC programming way of process control, puts forward the three layers of elevator ride, and lists the specific of the main hardware circuit, elevator control ladder diagram and instruction list. And the system composition block diagram and program flow chart is given, based on the analysis, processing, on the basis of random signal logic relation, put forward the plan for a complete set of the elevator control system scheme. Contact, high failure rate, poor reliability, installation and debugging cycle is long, maintenance workload, such as complex wiring faults. Make the elevator running more safe, convenient and comfortable. In the PLC course design, I have come up with a three layers of elevator group control system, and the Siemens S7-200 series programmable controller and its application and combining, after completing the curriculum, electrical control and PLC application we in the design process is relatively with ease, not from the beginning. The whole process including the solution discussion, program design, program changes, computer debugging, etc., spent more time on program design, main consideration to the elevator stop on the first floor, respectively the second and third floors in other situations such as floor call. Whenever encounter difficulties, I actively

PLC电梯控制课程设计报告

课程设计报告 题目:电梯控制课程设计 院 (系): 电气信息工程学院 专业:电气工程及其自动化 姓名: 学号: 年级: 11级电气一班

电梯控制课程设计 一.设计题目:电梯控制课程设计。 二.控制要求: 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿厢内设有楼层内选按钮S1-S4,用以选择需要停靠的楼层。L1为一层指示,L2为二层指示,L3为三层指示,L4为四层指示,SQ1-SQ4为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在三层轿厢外呼叫时,必须按三层上升呼叫按钮,电梯才响应呼叫(从一层运行到三层),按三层下降呼叫按钮无效;反之,若电梯停在四层,在三层轿厢外呼叫时,必须按三层下降呼叫按钮,电梯才响应呼叫(从四层运行到三层),按三层上升呼叫按钮无效,以此内推。 三.PLC的选型: 本次课程设计选用的PLC的型号为FX1N-40MR, FX1N系列是一种卡片大小的PLC,适合在小型环境中进行控制。它具有卓越的性能、串行通讯功能以及紧凑的尺寸,这使得它们能用在以前常规PLC无法安装的地方。输入点:24 输入点:16 继电器输出。它具有如下特点: 1.系统配置即固定又灵活; 2.编程简单; 3.备有可自由选择,丰富的品种; 4.令人放心的高性能; 5.高速运算; 6.使用于多种特殊用途; 7.外部机器通讯简单化; 8.共同的外部设备。 产品功能如下: 1、内置式24V直流电源:24V、400mA直流电源可用于外围设备,如传感器或其它元件。 2、时钟功能和小时表功能:在所有的FX1N PLC中都有实时时钟标准。时间设置和比较指令易于操作。小时表功能对过程跟踪和机器维护提供了有价值的信息。 3、持续扫描功能:为应用所需求的持续扫描时间定义操作周期。 4、输入滤波器调节功能:可以用输入滤波器平整输入信号(在基本单元中x000到x017)。 5、元件注解记录功能:元件注解可以记录在程序寄存器中 6、在线程序编辑:在线改变程序不会损失工作时间或停止生产运转 7、在线程序编辑:在线改变程序不会损失工作时间或停止生产运转 8、远程维护:远处的编程软件可以通过调制解调器通信来监测、上载或卸载程序和数据 9、密码保护:使用一个八位数字密码保护您的程序。

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

三层电梯控制设计

综合实训设计报告信息工程与自动化学院自动化系 设计题目:基于PLC的三层电梯控制系统设计 姓名: 学号: 专业:测控121班 指导老师: 二0一五年七月

引言 随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC 可靠性高,程序设计方便灵活。 1.电梯的PLC控制方式 PLC是一种用于工业自动给控制的专用计算机。实质上属于计算机控制方式。PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制。PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。 1.1 PLC控制系统的可靠性 对可维修的产品,可靠性包括产品的有效性和可维修性。PLC不需要大量的活动元件和接线电子元件,它的接线大大减少,与此同时,系统的维修简单,维修时间短。PLC采用了一系列可靠性设计的方法进行设计,例如,冗余设计,断电保护,故障诊断和信息保护及恢复等,提高了MTBF,降低了MTTR,使可靠性提高。PLC是为工业生产过程控制而专门设计的控制装置,它具有比通用计算机控制更简单的编程语言,而为工业恶劣操作环境设计的硬件使可靠性大大提高。在PLC的硬件方面,采用了一系列提高可靠性的措施。例如,采用可靠性的元件,采用先进的工艺制造流水线制造,对于干扰的屏蔽、隔离和滤波等,对电源的断

plc三层电梯控制设计

1. 三层电梯PLC控制系统设计 1.1实训目的 本次设计是一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。 1.2 实训容和控制要求 工作过程:电梯由安装在各楼层厅门口的呼叫按钮进行操纵,其操纵容为呼叫电梯、运行方向和停靠楼层。每层楼设有呼叫按钮(一层U1,二层U2,D2,三层D3),指示灯L1指示电梯在一层与二层之间运行、L2指示在二层与三层之间运行、L3指示在三层与二层之间运行、SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。输出端用输出指示灯的状态来模拟输出设备的状态。 三层楼电梯的自动控制要求如下: (1)当电梯停于1F或2F时,如果按3F按钮呼叫,则电梯上升到3F,由行程开关SQ3停止; (2)当电梯停于3F或2F时,如果按1F按钮呼叫,则电梯下降到1F,由行程开关LS1停止; (3)当电梯停于1F,如果按2F按钮呼叫,则电梯上升到2F,由行程开关

LS2停止; (4)当电梯停于3F,如果按2F按钮呼叫,则电梯下降到2F,由行程开关LS2停止; (5)当电梯停于2F,而2F、3F按钮均有人呼叫时,电梯先上升到2F,由LS2控制暂停2S后,继续上升到3F,由LS3停止; (6)当电梯停于3F,而1F、2F按钮均有人呼叫时,电梯下降到2F,由LS2控制暂停2S后,继续下降到1F,由LS1停止; (7)在电梯上升途中,任何反方向的下降按钮呼叫均无效; (8)在电梯下降途中,任何反方向的上升按钮呼叫均无效; (9)每层楼之间的到达时间应在10s完成,否则电梯停机; (10)电梯的起始位置和程序的启动、停止运行自行设计。 1.3电梯的结构 1-减速箱2-曳引轮 3-曳引机底座4-导向轮 5-限速器6-机座 7-导轨支架8-曳引钢绳 9-开关碰铁10-紧急开关 11-导靴12-轿架 13-轿门14-安全钳 15-导轨16-绳头组合 17-对重18-补偿链

数字电子技术课程设计报告-电梯控制

! 题目名称:电梯控制 院系:电气工程学院 专业班级:电子工艺与管理 学生姓名:徐小可 学号: 41 指导教师:孙艳 完成时间:2012年11月30 目录 ` 摘要 0 一,设计目的及意义 (1) 目的: (1) 意义: (1) 二,整体设计 (2)

2.1 设计目标 (2) 设计要求 (2) 2.3 整体设计流程图 (3) : 三,电梯控制系统的实现 (3) 3.1 实现功能 (3) 3.2 实现电路 (4) 楼层的输入电路 (4) 555定时电路 (4) (5) (6) 3.3主要元器件介绍 (6) 。 译码器 (6) (7) D触发器 (8)

四,实验总结 (9) 4. 1 设计中主要出现的问题 (9) 4.2 尚待解决的问题 (9) 4. 3 心得体会 (10)

摘要 随着我国经济的高速发展,微电子技术、计算机技术数电技术也得到了迅速发展。电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯等。在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。据统计,美国每天乘电梯的人次多于乘载其它交通工具的人数。当今世界,电梯的使用量已成为衡量现代化程度的标志之一. 设计利用D触发器74LS74作为控制电梯按钮(即触发电平),经过编码器74LS148及比较器74LS85、计数器74LS192来实现其电梯控制功能。电路使用555的定时功能来控制上一层楼或下一层楼所用的时间,利用数码管来显示所到楼层数,并用四个发光二极管来表示四层楼。以此来设计了一个四层电梯控制系统。 关键字:D触发器74LS74;编码器74LS148;比较器74LS85;计数器74LS192;555定时器;数码管; 一,设计目的及意义 本设计是利用已学过的数电知识,设计的四层电梯控制系统。 目的: (1)重温自己已学过的数电知识;

三层电梯控制器实验报告

大连理工大学本科实验报告题目:三层电梯控制器 课程名称:数字电路与系统设计 学院(系):电子信息与电气工程学部 专业: 班级: 学生姓名: 学号: 完成日期:2012-7-5 成绩: 2012 年7 月05 日

题目:三层电梯控制器 1 设计要求 随着科技的发展,电梯的使用越来越普遍,在商业大厦、宾馆酒店、办公场所、居民住宅中广泛使用。并且随着生活水平的提高,人们对电梯功能的要求也不断提高,相应地其控制方式也在不停地方生变化。对于电梯的控制,传统的方法是使用继电器——接触器控制系统进行控制技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。 电梯的微机化控制主要由以下几种形式:1.PLC控制;2.单板机控制;3.单片机控制;4.单微机控制;5.多微机控制;6.人工智能控制。目前FPGA已广泛应用与电子设计与控制的各个方面。本设计就是使用一片FPGA来实现对三层电梯的控制。 电梯控制器是控制电梯按顾客的要求自动上下的装置。三层电梯控制器的功能如下: (1)每层电梯入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 (2)设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 (3)电梯每秒升(降)一层楼。 (4)电梯到达有停站请求的楼层后,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停在当前层。 (5)能记忆电梯内外的所有请求信号,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如更高层有下楼请求,则直接升到有下楼请求的最高层接客,然后便进入下降模式。当电梯处于下降模式时与上升模式相反。 (7)电梯初始状态为一层开门。 (8)当收到报警信号时,电梯停止工作,电梯维持当前状态不动。报警信号解除时,电梯继续工作。 (9)电梯到达指定楼层时有声音提示。 2 设计分析及系统方案设计 电梯控制器的设计方法有很多,本文采用状态机来描述,其优点是思路清晰。可以将电梯等待的每秒钟以及开门、关门都看成一个独立的状态。由于电梯又是每秒上升或下降一层,所以就可以通过一个以秒为周期的时钟来触发状态机。根

三层电梯PLC控制系统设计方案报告

三层电梯PLC控制系统设计方案报告

PLC课程设计报告题目:三层电梯PLC控制系统设计 院别: 姓名: 学号: 指导教师: 日期:

摘要 本设计主要利用欧姆龙系统完成。主要介绍了3层电梯的PLC的特点、PLC的功能、发展趋势、PLC控制电梯的软、硬件设计。在示意图、接线图、电梯的控制梯形图、指令表、和程序流程图的基础之上提出了PLC的编程方法。 可编程控制系统(Programmable Logic Controller)是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业自动化控制控制的各个领域,大大推进了机电一体化的进程。 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。目前PLC在电梯行业已得到广泛应用。在层数和控制功能较少的场合,采用PLC控制较为方便。

目录 第一章三层楼电梯自动控制 (3) 一.电梯设计要求 (4) 二.电梯设计分析 (5) 1.分析被控对象 (5) 2.分配PLC的输入/输出端子 (5) 3.统计输入、输出点数并选择PLC型号 (7) 4.输入/输出端子接线图 (8) 5.运动形式分析 (8) 6.助记符 (15) 三.硬件配置设计 (19) 1. 电梯控制构成 (20) 2. 主电路 (21) 四.型号规格 (22)

plc实验报告之三层电梯的运行

电气控制综合实验报告 项目:三层电梯PLC控制系统 班级:电132 姓名: 学号: 联系方式: 学期:2015-2016-2 第一章实验过程记录以及调试步骤及方法 1.1实验过程记录 1.好好复习老师之前讲的一些基本的电路,例如轿厢内锁存电路,门厅锁存电路等,将这些基本的电路搞懂之后,就可以开始设计接下来的电路啦。

2.认真查看电梯要求的7大原则,开始设计梯形图,并用GX Works2进行仿真,并及时发现问题,加以修改。 3.在GX Works仿真都没有问题后,去实验室,用组态软件再进行仿真,看电梯能否自如顺畅的运行,因为开门是通过延时来实现的,组态仿真,看之前设置好的定时器定时的时间是否能让电梯顺利的关门开门,若不行,修改定时器定时的时间,知道符合要求为止。这个可分为一下几小部分: a.打开计算机; b.打开GX Works2软件,将自己编写好的程序拷入电脑并打开; c.将PLC调为由RUN拨到STOP状态,然后将程序写入PLC; d.将PLC调为RUN(即运行状态)状态,然后打开组态; e.运行组态,点击启动; f.开始根据组态运行的结果来调试,找出错误并修改程序,直至完成设计要 求; 1.2实验调试步骤及方法 1.调试软件GX Works2介绍 GX Work2是三菱电机推出的三菱综合PLC编程软件,是专用于PLC设计、调试、维护的编程工具。与传统的GX Developer 软件相比,提高了功能及操作性能,变得更加容易使用。梯形图绘制时更加的简单明了,例如输入X000,只要打X000,然后按回车键就好了,输出Y001,只要打Y001,然后按回车键就好了,输入特别的简单,很方便。例如下图1.2.1:

EDA电梯控制器课程设计报告

成绩评定表

课程设计任务书

摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。 电梯行业也随着科技的发展,不断地出现在人们生活的各个场所,因此,对电梯控制器的设计是一个很实用的例子,对我们掌握EDA技术的应用也有很大的帮助。 关键词:EDA技术电子信息通信自动控制

目录 1、设计要求概述 (1) 1.1 设计要求 (1) 1.2 总体设计思路 (1) 1.3 具体设计思路 (2) 2、功能模块整体结构设计 (2) 2.1 电梯控制器功能 (2) 2.2 电梯控制器设计 (3) 3、各模块详细设计 (3) 3.1底层模块设计 (3) 3.2 顶层模块设计 (6) 4、逻辑仿真与时序仿真的实现 (12) 4.1 底层设计模块的方针及参数设置 (12) 4.2 电梯分层控制模块的仿真及参数设置 (13) 4.3电梯主控制器仿真波形 (15) 5、设计结论 (15) 5.1 设计功能实现情况 (15) 5.2 设计心得 (16) 6、参考文献 (17)

1 电梯控制器的设计要求与设计思路 1.1 设计要求 十层电梯控制器的功能电梯控制器是控制电梯按顾客要求自动上下的装置。 设计要求如下: (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 (2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯每秒升(降)一层楼 (4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 (5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则——当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到有下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时则与上升模式相反,只响应比电梯所在位置低的下楼请求信号,由下而下逐个执行,直到最后一个下楼请求执行完毕;如果低层有上楼请求,则直接降到有上楼请求的最低楼层,然后进入上升模式。 (7)电梯初始状态为一层开门状态 1.2 总体设计思路 实验模拟生活中电梯运动控制,电梯总共十层,最简单的控制思想如下: (1)采集用户呼叫楼层,并放入相应的记忆单元中。 (2)不考虑电梯轿厢所在楼层。若有用户呼叫,电梯上行直到达到用户呼叫最大层,再下行直到到达最低层,由此构成一次行程。 (3)每完成一次行程,检测是否所用用户呼叫均已响应完毕。如果没有,电梯继续运行,直到响应完所有用户呼叫。否则,电梯停止运行。其中用户请求包括外部请求和内部请求。有外部升降请求信号需点亮相应的外部请求指示

PLC三层电梯课程设计报告书

中国石油大学胜利学院 电气控制及可编程控制技术 综合实验报告 必做题目:三层电梯自动控制 选做题目:四节传送带控制 学生:马金帅 学号: 201108011225 系别:机械与电气工程系 专业年级:2011级电气工程及其自动化本科2班 指导教师:王东起 2014年6月24日

一、设计任务与要求 1、要求:楼层呼叫按钮,一层一个:上。二层两个:上下。三层一个:下。桥箱呼叫按钮三个:一层,二层,三层。 到达指定楼层电梯停留10秒。 电梯在某一层停留时,有楼层显示。 20秒无人呼叫,电梯自动停留在1层。当电梯上升途中,任何反方向的的下降呼叫信号无效,当电梯下降途中,任何反方向的上升呼叫信号无效。 2、(二)四段传送带控制 (1)PLC上电后,按下启动按钮,四段传送带同时启动,四盏指示灯同时亮;(2)按下停止按钮,四段传送带同时停止,四盏指示灯同时灭 (3)按下四号传送带故障按钮,四号立即停止,四号指示灯立即灭,三号二号一号间隔五秒依次停;其 (4)按下三号故障按钮,四号三号立即停止,二号一号间隔五秒依次停止;(5)按下二号故障按钮,四号三号二号立即停止,一号五秒后停止; (6)当一号故障时,四号三号二号一号都立即停止。。 二、方案设计与论证 此次课程设计是电气控制与PLC原理及应用课程的实际应用,两个设计任务的具体要求中都运用了课程中所学的基本电路:起保停、自锁、互锁、单脉冲电路等,通过各个基本电路的连接,最终能够满足基本的要求,再通过对基本电路的拓展,就可以实现部分设计的附加功能。三层电梯自动控制设计共有14个输入信号,分别有楼层呼叫按钮,一层一个:上。二层两个:上下。三层一个:下。桥箱呼叫按钮三个:一层,二层,三层,等控制开关和按钮。16个输出信号,各层的指示灯和上下显示灯等。到达指定楼层电梯停留10秒。电梯在某一层停留时,有楼层显示。20秒无人呼叫,电梯自动停留在1层。当电梯上升途中,任何反方向的下降呼叫信号无效,当电梯下降途中,任何反方向的上升呼叫信号无效 三、设计方案 设计一:三层电梯自动控制I/O分配表

三层电梯PLC控制系统设计报告

PLC课程设计报告题目:三层电梯PLC控制系统设计 院别: 姓名: 学号: 指导教师: 日期:

本设计主要利用欧姆龙系统完成。主要介绍了3层电梯的PLC的特点、PLC的功能、发展趋势、PLC控制电梯的软、硬件设计。在示意图、接线图、电梯的控制梯形图、指令表、和程序流程图的基础之上提出了PLC的编程方法。 可编程控制系统(Programmable Logic Controller)是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业自动化控制控制的各个领域,大大推进了机电一体化的进程。 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。目前PLC在电梯行业已得到广泛应用。在层数和控制功能较少的场合,采用PLC控制较为方便。

第一章三层楼电梯自动控制 (4) 一.电梯设计要求 (4) 二.电梯设计分析 (5) 1.分析被控对象 (5) 2.分配PLC的输入/输出端子 (5) 3.统计输入、输出点数并选择PLC型号 (5) 4.输入/输出端子接线图 (6) 5.运动形式分析 (6) 6.助记符 (11) 三.硬件配置设计 (15) 1. 电梯控制构成 (16) 2. 主电路 (16) 四.型号规格 (16)

PLC课程设计四层电梯控制(1)

PLC 课程设计四层电梯控制 实训目的 1. 掌握复杂输入输出控制系统的程序编程技巧 2. 掌握四层电梯控制系统的接线、调试、操作 序号 名 称 型号与规格 数量 备注 1 实训装置 THPFSL- 2 1 2 实训挂箱 A19-1 1 3 导线 3号 若干 4 通讯编程电缆 SC-09 1 二菱 5 实训指导书 THPFSL-1/2 1 6 计算机(带编程软件) 1 自备 电梯的电气控制系统由控制装置,操纵装置,平层装置,和位置显示装置等部分组成。 其中控制装置根据电梯的运行逻辑功能要求,控制电梯的运行,设置在机房中的控制柜上。 操纵装置是由轿厢内的按钮箱和厅门的召唤箱按钮来操纵电梯的运行的。 平层装置是发出平 层控制信号,使电梯轿厢准确平层的控制装置。 所谓平层,是指轿厢在接近某一楼层的停靠 站时,欲使轿厢地坎与厅门地坎达到同一平面的操作。 位置显示装置是用来显示电梯所在楼 层位置的轿内和厅门的指示灯,厅门指示灯还用尖头指示电梯的运行方向 四、 控制要求 1. 总体控制要求: 电梯由安装在各楼层电梯口的上升下降呼叫按钮 ( U1、U2、D2、 UP DO 邮J SL4 SL3 SL2 SL DM4 LP3 S4 □ S2 I S3 □ $1 □ 1關 1 f ] S3 r \ S2 1 I SI f 'll 1 I W i i 03 i i □2 1 1 1丨〕 o o 1 1 | U2 i I I JI 1 1 S04 1 I SQ3 1 I 1 1 1 | SQ 2 ! 1 I 1 1 5Q1 1 I 1 1 L4 I I 1 1 L3 1 I 1 1 1 1 口 Ll i i UP i i DOWN 1 1 Q n. 1 1 I DW I A3 DN2 LP3 I 1 1 (1 1 1 Q | UP2 1 ■ I I JP1 I I SL4 1 1 SL3 1 I 1 1 1 | SL2 1 1 SL1 1 .1 + COM 04 U3 D3 U2 02 JI DM3 UP2

PLC课程设计 三层电梯

课程设计报告 题目三层电梯控制 课程名称PLC工业控制及应用院部名称机电工程学院 专业电气工程及其自动化班级10电气1班 学生姓名管志成 学号1004103027 课程设计地点C314 课程设计学时20 指导教师 金陵科技学院教务处制

可编程控制器作为一种工业控制微型计算机,它以其编程方便、操作简单尤其是它的高可控性等优点,在工业生产过程中得到了广泛的应用。它应用大规模集成电路,微型机技术和通讯技术的发展成果,逐步形成了具有多种优点和微型,中型,大型,超大型等各种规格的系列产品,应用于从继电器控制系统到监控计算机之间的许多控制领域。PLC总的发展趋势是:高功能、高速度、高集成度、大容量、小体积、低成本、通信组网能力强。 本课程设计基于西门子(SIEMENS)S7-200 PLC对三层电梯的控制进行了模拟,形成了电梯升降的系统PLC在电梯升降的过程中,主要体现在逻辑开关的功能。由于PLC具有逻辑运算、记数、定时以及输出输入输出的功能,在电梯升降的过程中各种逻辑开关控制与PLC很好的结合,对电梯实现了控制。 关键词:SIEMENS S7-200 PLC;电梯;升降

一、绪论 1.1 相关背景知识 (3) 1.2 课程设计的目的 (3) 1.3 课程设计的要求 (3) 1.4 课程设计的任务 (4) 二、电梯控制系统硬件设计 2.1 模拟装置介绍 (5) 2.2 选择机型 (6) 2.3 I/O分配表 (6) 2.4 电气接线图与主电路图 (6) 2.5电梯控制系统的安全保护 2.5.1 短路保护 (7) 2.5.2 过载保护 (7) 2.5.3 失电压保护 (7) 2.5.4 超程保护 (7) 三、电梯控制系统软件设计 3.1软件设计流程图及描述 (8) 3.2 源代码设计 3.2.1 梯形图LAD (9) 3.2.2 语句表STL (14) 3.3 系统调试 (16) 四、课程设计体会 (16) 五、参考文献 (16)

plc实验报告之三层电梯的运行

电气控制综合实验报告 PLC控制系统目:三层电梯项 132 电班级: 名:姓 学号:联系 方式: 2015-2016-2 期:学 实验过程记录以及调试步骤及方法 第一章实验过程记录1.好好复习老师之前讲的一些基本的电路,例如轿 厢内锁存电路,门厅锁存电路等,将这些基本的电路搞懂之后,就可以开始设计接下来的电路啦。 2.认真查看电梯要求的7大原则,开始设计梯形图,并用GX Works2进行仿真,并及时发现问题,加以修改。 3.在GX Works仿真都没有问题后,去实验室,用组态软件再进行仿真, 看电梯能否自如顺畅的运行,因为开门是通过延时来实现的,组态仿真,看之前设置好的定时器定时的时间是否能让电梯顺利的关门开门,若不行,修改定时器定时的时间,知道符合要求为止。这个可分为一下几小部分:打开计算机;a. 软件,将自己编写好的程序拷入电脑并打开;打开GX Works2b. ;PLC拨到调为由RUNSTOP状态,然后将程序写入c.将PLC (即运行状态)状态,然后打开组态;RUNd.将PLC调为 运行组态,点击启动;e.

开始根据组态运行的结果来调试,找出错误并修改程序,直至完成设计要求;f. 实验调试步骤及方法介绍 1.调试软件GX Works2 编程软件,是专用于PLCPLC设计、调试、GX Work2是三菱电机推出的三菱综合维护的编程工具。与传统的GX Developer 软件相比,提高了功能及操作性能,变得更加容易使用。梯形图绘制时更加的简单明了,例如输入X000,只要打X000,然后按回车键就好了,输出Y001,只要打Y001,然后按回车键就好了,输入特别的简单,很方便。例如下图: 图 GX Works2新建工程后界面 2.程序的调试 用软件GX Works2软件进行调试,是很有必要的一部分,它能帮你发现一些画梯PLC形图时出现的问题,还有一些意想不到的问题,熟练掌握调试方法对我们以后 的编程很有意义。 调试步骤3. 软件中,输入好之后,点将自己设计好的梯形图一行一行的输入到GX Worksa.击菜单栏中的“调试”,如下图: 图调试下拉菜单 b.点击模拟开始,可进行软件调试,如图: 图开始加载调试图 等到加载到百分之百就可以开始调试了。 c.在梯形图上面右击,出现下拉菜单,如图:

三层电梯控制系统的设计

三层电梯控制系统的 实验设计 指导老师:朱静 学生:马小娟 班级:电科092 学号:095203403

三层电梯控制系统的设计 第一节设计要求 要求用FPGA设计实现一个3层电梯的控制系统。系统的要求如下: (1)电梯运行规则:当电梯处在上升模式时,只响应比电梯所在位置高的上楼请求,由下向上逐个执行,直到最后一个上楼请求执行完毕。如果高层有下楼请求,直接升到有下楼请求的最高楼层,然后进入下降模式。电梯处在下降模式时,工作方式与上升模式相反。设电梯共有3层,每秒上升或下降一层。 (2)电梯初始状态为一层,处在开门状态,开门指示灯亮。 (3)每层电梯入口处均设有上下请求开关,电梯内部设有乘客到达楼层的停站请求开关及其显示。 (4)设置电梯所处位置的指示及电梯上升或下降的指示。 (5)电梯到达有停站请求的楼层后,电梯门打开,开门指示灯亮。开门4妙后,电梯门关闭,开门指示灯灭,电梯继续运行,直至执行完最后一个请求信号后停在当前层。 (6)电梯控制系统能记忆电梯内外的请求信号,并按照电梯运行规则工作,每个请求信号执行完毕后清除。 第二节三层电梯控制系统的功能模块及流程图 电梯控制器的功能模块如图2.1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。 图2.1 电梯控制器原理图

相关文档
相关文档 最新文档