文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑电路 课后答案

数字逻辑电路 课后答案

数字逻辑电路 课后答案
数字逻辑电路 课后答案

数字逻辑电路 刘常澍

课后习题答案

1-14 将下列带符号数分别表示成原码、反码和补码形式。 (3) (-1111111)2 (4) , (-0000001)2 ; 解:

(3) (-1111111)2 =(11111111)原= (10000000)反= (10000001)补 (4) (-0000001)2 =(10000001)原= (11111110)反= (11111111)补

1-15 将下列反码和补码形式的二进制数变成带符号的十进制数 (3) (10000000)补;(4) (11100101)补 解:

(3) (10000000)补=(-127) 10 (4) (11100101)补=(-27) 10

1-18列出下述问题的真值表,并写出逻辑式。

(1)有A 、B 、C 三个输入信号,如果三个输入信号均为0或其中一个为1时,输出信号Y =1,其余情况下,输出Y =0。

解:

逻辑式:C B A C B A B A C B A Y +++=

1-22 求下列逻辑函数的反函数 (3)C A D C BC D A Y ?+=)(

C A

D C C D A Y ++++++=)())(B (

1-23 求下列逻辑函数的对偶式 (2)D BC B A D B A BC Y ?++++=)(

])()([)(*D C A B A D C A C B Y ++?+?++=

1-21 用代数法将下列函数化简为最简与-或式。

(5) (8)

AB

BC A C AB AB

BC A C AB Y ?++=?++=BC AD C B A BC AD C B A B A AB BC AD C B A C B A B A Y +??+=+??++=++?+=)

()()

()()

)((

1-26 用K 图化简法将下列逻辑函数化为最简与-或式

(4)∏=

)12,11,9,8,5,4,2,1,0(),,,(M D C B A Y

1-28 用K 图化简法将下列逻辑函数化为最简与-或-非式

(4

∏=)15,13,12,10,9,7,6,5,4,2,1(),,,(M D C B A Y

1-27 用K 图化简法将下列逻辑函数化为最简或-与式

(3)∑=,15),8,9,10,11(0,1,3,4,6),,,(m D C B A Y (4)∏=)151413111098632()(,,,,,,,,,M D ,C ,B ,A Y

1-30 用K 图将下列具有约束条件的逻辑函数化为最简“与-或”逻辑式。

(3) ∑∑+=)15,14,13,12,11,10()9,8,5,3,1,0(),,,(d m D C B A Y 解: (3)D B D C B Y ++=

1-31 用K 图完成下列函数运算

(3))()(C B A BC A C B A C AB BC A C B A C A Y +++⊕++= 解:

BC

A C

B A

C A M ++=

C B A BC A C B A C AB N +++= C B Y =

2-12 设开关闭合为1,断开为0,灯亮为1,灯灭为0,用逻辑式表示题图P2-12所示开关电路的逻辑关系,并用逻辑符号画出逻辑图。

解:(a )C AB Y +=1 (b )C B A Y )(1+= (c ) CD AB Y +=1 逻辑图如题解图P2-12所示。

2-13 根据输入信号波形,画出题图P2-13所示各电路的输出波形。

解:

题图P2-12

题解图P2-12

2-18 写出题图P2-18各电路的逻辑表达式,并对应图题图P2-18(d )输入波形画出各输出波形。

解:(a)C AB Y +=1;

(b) ???===)

1()0(2C Z C AB Y ; (c) ??

?==⊕=)

0(0)1(3C C B A Y 。

2-19 现有四2输入与非门CC4011和四2输入或非门CC4001各一块,题图P2-19是它们的封装引脚示意图。试问实现Y 1=ABCD 和Y 2=A +B +C +D 。

解: CD AB ABCD Y +==1, )()(2D C B A D C B A Y +?+=+++=

3-15 试分析题图P3-15所示电路的逻辑功能。

解:

题图P2-18

题图

P2-19

C B A ABC C B A ABC Y +=?=

当ABC 不全0时,Y 为0。

3-16 逻辑电路如题图P3-16所示,试分析其逻辑功能。

解:

该电

路实质是一个二输出与门

3-21 试画出用“与非”门和反相器实现下列逻辑函数的逻辑图。

(3) BC A C B A C AB Y ++=

解:所给原式非号下的与-或式是原函数的反函数,原函数(不带非号)和反函数的最小项编号是错开的,根据反函数即可直接写出原函数的最小项式,进而化简逻辑式为与-或式,用两次取非法变换为与非-与非式,再用与非门实现,如题解图P3-21(c)。

(4) )(BC B A B A BC A Y +++=

解:先变换逻辑式为与-或式,用两次取非法变换为与非-与非式,再用与非门实现,如题解图P3-21(d)。

22 试画出用“或非”门和反相器实现下列逻辑函数的逻辑图。

(2) ))()((C B A C B A C A Y +++++= 解:

题图P3-16

题解图P3-21(c)

题解图P3-21(d)

ABC

C B B A ABC C B B A ABC C B A C B A C B A C B A BC

A C

B A

C AB Y ??=++=++++=++=C A B A C A B A C B B A B A C B A BC B A B A BC A Y ?=+=+?+?++=+++=)()()()()

(C B C B A C A Y ++++=))()(

(AB

B A AB

C B A B A AB C C B A B C B A C B A A C C B A B C B A A C B A C B A C C B A B C B A A C B A C B A Y =+=+++=++++++++=+++++++++++=++++++++++++++=)())(())()(())()()((

(3) D B A D C B C AB Y +?+=)(

解:先将逻辑式变换为与-或式,再用K 图将逻辑式变换为或-与式,进而变换为或非-或非式,用或非门实现,如题解图P3-22(c)。

3-27 试设计一个三输入,三输出的逻辑电路,如题图P3-27所示。当A =1,B =C =0时,红﹑绿灯亮。当B =1,A =C =0时,绿﹑黄灯亮。当C =1,A =B =0时,黄﹑红灯亮。当A =B =C =0 时,三个灯全亮。其它情况均不亮。

提示:驱动发光二极管(LED )的输出门应该用集电极开路门,LED 正向导同压降约1V ,正常发光时电流在6~10mA 范围。

解:集电极开路门输出低电平发光二极管亮,按逻辑功能列出真值表如下表所示。由真值表可得逻辑式:

AB C AB C R ?=+=,AB C C AB G ?=+=,BC A BC A Y ?=+=

用开路输出的四二输入与非门CT74LS09和四二输入与非门CT74LS00实现,图如下。

3-30 试用八选一数据选择器CT74151实现下列函数:

题图P3-27

C B A C B A

D C B A C B A D Y BCD D C B D A D B A BCD D C B D C A BD A D B A D C B C B A D

B A D

C B C AB Y ++++++=++++=++=++++=++++=+?+=))(())(()(

(3) )14,13,10,7,6,3,0(),,,(3∑=

m D C B A F

解:所用K 图及逻辑图如题解图P3-30(c)所示。逻辑图如解图P3-30(d)所示

4-8 图P3-8是主从RS 触发器的符号。已知初始状态Q =0,输入信号S 和R 的波形,试画出输出端Q 的波形。

解:输出端Q 的波形画于题图P4-8中。

4-9 图P4-9是主从JK 触发器符号。已知输入信号J 和K 的波形,初始状态Q =0,试画出输出端Q 的波形。

解:输出端Q 的波形画于题图P4-9中。

题解图P3-30(c)

题解图P3-30(d)

题图4-8

4-11 试画出题图P4-11所示D 触发器输出端Q 的电压波形,已知输入信号的波形如图所示。

解:输出端Q 的波形画于题图P4-11中。

4-12 题图P4-12 给出了

集成D 触发器CC4013的逻辑符号和输

入信号的电压波形,试画出输出端Q 的波形。

解:输出端Q 的波形画于题图P4-12中。

\

4-13 图P4-21是D 触发器74LS74的符号。已知输入信号波形如图所示,试画出输出端Q 和的电压波形。

解:输出端Q 的波形画于题图P4-13中。

题图4-9

题图4-11

题图4-12

题图4-13

4-14 图P4-14是JK触发器74LS76A的符号。已知其输入信号波形如图所示,试画出输出端Q的电压波形。

解:输出端Q的波形画于题图P4-14中。

题图4-14

4-15 设图P4-15中各触发器的初始状态皆为0,试画出在CP信号连续作用下各触发器输出端的电压波形。

解:第一个电路是同步RS 触发器;第二个电路是用同步RS 触发器反馈组成的T '触发器的,存在着空翻现象,即当CP 输入为1时,输出端Q 2输出振荡波形,其周期为组成该触发器逻辑门的3倍平均传输延迟时间(3t pd );第三个电路是D 触发器;第四个电路是主从延迟输出型的RS 触发器;第五个电路是用主从延迟输出型RS 触发器反馈组成的T '触发器的,不存在空翻现象;第六个电路是用主从延迟输出型JK 触发器组成的T '触发器;第七个电路是用D 触发器组成的T '触发器;第八个电路是用边沿触发型JK 触发器(下降沿触发)组成的置1电路(即电路状态为1,CP 脉冲的作用不改变Q 8=1的原状态),若电路原状态为0,经过一个CP 脉冲下降沿后Q 8变为1;第九个电路是用边沿触发型JK 触发器(下降沿触发)组成的T '触发器。

5-19、分析题图P5-19所示电路为几进制计数器,并画出初始状态Q 1Q 0=00的状态转移图和波形图。

解:根据逻辑图列激励方程和状态转移方程为

J 1=Q 0,K 1=1,10Q J =, K 0=1,

n

n

n Q Q Q 0111=+ , n

n

n Q Q Q 0110=+

再列出状态转移表如题解表P 5-19。

根据状态表画出状态图和波形图如题解图P5-19。

题图P4-15 题图

P5-19

5-16、分析如题图P5-16所示电路,写出电路激励方程,状态转移方程,画出全状态图,并说明该电路是否具有自启动特性

J 2=Q 1Q 0,K 2=Q 0,0211Q Q K J ==,J 0=K 0=1,

Q Q Q Q Q Q n

n n n

n 201212+=+,

n

n n n n n n Q Q Q Q Q Q Q 10201211+=+,n

n Q Q 010=+。

再列出状态转移表如题解表P5-16。

根据状态表画出状态图如题解图P5-16。该电路同步六进制计数器, M =6,从状态图

可以看出电路具有自启动功能。

5-22、分析题图P5-22所示电路为几进制计数器,并画出初始状态Q 2Q 1Q 0=000的全状态图和波形图。

解:根据逻辑图列激励方程和状态转移方程为 J 2=Q 1,K 2=1,

题图P5-16

题解表P5-22

21Q J =,K 1=1, J 0=K 0=1

CP 2=CP 1=Q 0,CP 0=CP

n n

n Q Q Q 1212=+,n

n

n Q Q Q 1211=+,

n

n Q Q 010=+

列出状态转移表如题解表P5-22。状态转移图和工作波形如题解图P5-22所示。

由题解表P5-22和题解图P5-22可知,该电路为一个异步计数器,不具有自启动功能。

5-23、分析题图P5-23所示电路为几进制计数器,并画出初始状态Q 2Q 1Q 0=000的全状态图和波形图。

解:根据逻辑图列出激励方程和状态转移方程为n Q J 12=,n Q K 12=, n Q J 01=,n

Q K 01=,

n

Q J 10=,n

Q K 2

0=, n n n n

n n Q Q Q Q Q Q

1

2

1

21

12=+=+,n n n n

n n Q Q Q Q Q Q

1

10

11

=+=+,?????===+=+)

1()0(02010

20110

Q Q Q Q Q Q Q Q Q

n n

n n

n

n

n 。 再列出状态转移表如题解表P 5-23。 全状态图和波形图如题解图P5-23所示。

第12次作业: 第6章6-5、6-6 6-5、分析题图P6-5(a )、(b)所示由中规模同步计数器CT74LS161构成的计数分频器的模值,并画出全状态图。

题图P5-23

题解表P 5-23 题解图P5-23

题图P6-5

解:(a)CT74LS161的复位功能是异步的,则电路采用的是异步复位法,复位状态为1001,该状态不是计数循环中的一个状态,因而计数循环的状态为0000~1000,共9个状态,则M=9,全状态图为题解图P6-5(a);

(b)CT74LS161的置数功能是同步的,电路采用的是同步置数法,置数状态为1001,该状态应是计数循环中的一个状态,所置数值为0000,因而计数循环的状态为0000~1001,共10个状态,则M=10,全状态图为题解图P6-5(b)。

题解图P6-5

6-6、分析题图P6-6(a)、(b)所示由中规模同步计数器CT74LS163构成的计数分频器的模值,并画出全状态图。

题图P6-6

解:(a)CT74LS163的复位功能是同步的,电路采用的是同步复位法,复位状态为1001,该状态应是计数循环中的一个状态,因而计数循环的状态为0000~1001,共10个状态,则M=10,全状态图为图解题图P6-6(a);

(b)CT74LS163的置数功能是同步的,电路采用的是同步置数法,置数状态为进位信号CO,即状态是1111时置数,它应是计数循环中的一个状态,所置数值为0101,因而计数循环的状态为0101~1111,共11个状态,则M=11,全状态图为题解图P6-6(b)。

6-15、用同步4位二进制计数集成芯片CT74LS161采用进位反馈同步置数法构成模M 为14的计数器,并画出接线图和全状态图。

解:采用进位反馈同步置数法构成模值M 为14的计数器,在十六个状态循环中截取14个状态形成主计数循环即可,其中必须包含状态1111,以便用该状态产生置数信号,所置数值应是0010,题解图P6-15(a)和(b)是其逻辑图和全状态图。

6-16、用同步4位二进制计数集成芯片CT74LS163采用同步复位法构成模值M 为11的计数器,并画出接线图和全状态图。

解:采用同步复位法组成11进制计数器,计数循环包括状态0000和产生复位信号的状态1010,即计数循环状态为0000~1010,共11个状态。接线图和全状态图如题解图P6-16所示。

7-10 某存储器具有11条地址线和8条双向数据线,问该存储器的存储容量是多少? 答:容量是RAM2048字×8位(RAM2k×8)。 7-11 已知HM6264为8kB×8b 的静态RAM ,用其组成一个容量为32kB×32b 的RAM ,用什么扩展方法?需用多少片HM6264芯片?

答:既要用字扩展又要用位扩展,组成容量为32kB×32b 的RAM ,需用16片HM6264芯片。

7-15 在一片ROM 上实现下列组合逻辑函数:

∑=)14111098643210()(1,,,,,,,,,,m D ,C ,B ,A Y ; ∏=)151413111098632()(2,,,,,,,,,M D ,C ,B ,A Y ;

题解图P6-6

题解图P6-15

题解图P6-16

∏=)151412108765420()(3,,,,,,,,,,M D ,C ,B ,A Y

解:用ROM 实现逻辑函数以最小项式形式适合其与阵列和或阵列的结构,Y 1是最小项式的形式,Y 2、Y 3为最大项形式,需要将它们转换为最小项式形式,按照两种形式项号相错的性质即可对应转换,则:Y 1、Y 2、Y 3用ROM 实现的逻辑图如题解图P6-15所示。

)12,7,5,4,1,0(),,,(2∑=m D C B A Y ;

)13,11,9,3,1(),,,(3∑=m D C B A Y

7-17 在一片PLA 上实现下列组合逻辑函数: C B AC BC A F ++=1; D A BCD D C B A F ++=2;

D C BC C A B A F +++=3; D C BC D A F )(4+=

解:先将各函数写成最简与-或式的形式,以对应PLA 的与阵列和或阵列;实现逻辑函数的PLA 如题解图P6-17所示。

C

AC C C B AC BC C B AC BC A F =+=++=++=1 D

A BCD D C

B D A BCD D

C B A F ++=++=2

D C BC C A B A F +++=3

D

BC D AC D C BC D A F +=+=)(4

题解图

P6-17

⊙×⊕☉ㄅㄆㄇㄈ、ㄉㄊㄋㄌ、ㄍㄎㄏ、ㄐㄑㄒ、ㄓㄔㄕㄖ、ㄗㄘㄙ、ㄚㄛㄜㄝ、ㄞㄟㄠㄡ、ㄢㄣㄤㄥㄦ、ㄧㄨㄩ

b p m f、d t n l、g k h、j q x、zh ch sh r、z

c s、a o e ê、ai ei ao ou、an en ang eng

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑电路试题

院系: 专业班级: 学号: 姓名: 座位号: A. 4 B. 3 C. 6 D. 5 7. 下列电路中属于时序逻辑电路的是 【 】 A. 加法器 B. 数据分配器 C. 计数器 D. 译码器 8. 下列关于门电路的使用,描述不正确的是 【 】 A. TTL 与非门闲置输入端可以直接接电源 B. 具有推拉输出结构的TTL 门电路的输出端可以直接并联使用 C. CMOS 或门闲置输入端应接地 D. CMOS 门电路的闲置输入端不允许悬空 9. 为了降低555定时器组成多谐振荡器的振荡频率,外接R 、C 值应为 【 】 A. 同时增大R 、C 值 B. 同时减小R 、C 值 C. 同比增大R 值减小C 值 D. 同比增大C 值减小R 值 10. 若停电数分钟后恢复供电,下列选项中信息能够保持不变的是 【 】 A. ROM B. 动态RAM C. MUX D. 静态RAM 1. 8位D/A 转换器的理论分辨率是_____________________。 2. 64个输入端的编码器按二进制数编码时,输出端的个数是__________________。 3. 变量数相同时,下标编号相同的最大项i M 和最小项i m 的关系是_____________。 4. 图2.1所示集成计数器的模M=_____________________。 图2.1 (题2.4图) 5. 共阳极接法数码显示器需要配用输出 电平有效的译码器。 二、填空题 (每小题2分,共20分)

6. 对于T 触发器,当T=______时,触发器处于保持状态。 7. 逻辑函数C B AB F +=的反函数F 为_____________________。 8. 5个变量的逻辑函数全部最大项有_____________________个。 9. 二进制数()20110.101110转换成十进制数是___________________。 10. 同步RS 触发器的特性方程中,约束条件为RS=0,说明这两个输入信号不能同时为_____________________。 1. 时序逻辑电路中可以没有门电路,但是必须要有触发器。 ( ) 2. 对于二进制正数,反码和补码相同。 ( ) 3. 半加器只能用于对两个1位二进制数相加。 ( ) 4. 多谐振荡器需要输入触发信号才可以输出矩形脉冲。 ( ) 5. 逻辑函数的取值与逻辑变量的取值不同,可以有0、1、2等多种可能。 ( ) 6. 分析组合逻辑电路的目的是要得到逻辑电路的真值表。 ( ) 7. 数字逻辑电路的晶体管和模拟电路的晶体管工作状态相同。 ( ) 8. 同步时序逻辑电路有稳定状态,异步时序逻辑电路没有稳定状态。 ( ) 9. 两个或多个OC 门的输出端可以直接相连,实现线与。 ( ) 10. 可编程阵列逻辑PAL 的与阵列可编程,或阵列不可编程。 ( ) 1. 写出图4.1所示电路表示的逻辑函数关系式; F A C B 图4.1(题4.1) F= _____________________ 2. 画出实现逻辑函数C B A ABC Y +=的门电路图; 3. 由D 触发器和与非门组成的电路如图 4.2所示,试画出Q 端的波形,设电路 初态为 0; A Q 12345CP A Q 图4.2(题4.2) 4. 用卡诺图法将逻辑函数()∑=)15,14,12,10,8,7,5,2,0(m D ,C ,B ,A Y 化成最简 “与或”表达式。 四、综合题 (每小题5分,共20分) 三、判断题(正确的在题号后括号内填写“T ”,错误的填写“F ”) (每小题1分,共10分)

第4章组合逻辑电路课后答案

第4 章 [题 4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 P3AP1P5P2P3P4 A P 4CP2 P3Y P5P6 B P1 AB Y P2BP1 C P6CP4 图P4.1 图P4.2 解:( 1)逻辑表达式 Y P5P6P2 P3 P4 CP4P2 P3P4CP4 P2 P3 C CP2 P3P2 P3 C C P2P3 PPC23P PC 2 3 P2 P3BP1 AP1 B AB AAB AB AB Y P2P3C P2 P3C AB AB C AB ABC AB ABC AB C ABC AB ABC AB ABC C ( 2)真值表 A B C Y A B C Y 00011000 00101011 01001101 01111110 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1 和全为0 时,Y=1,否则 Y=0 。 [题 4.3] 分析图P4.3电路的逻辑功能,写出Y1、、Y2的逻辑函数式,列出真值表,指出 电路完成什么逻辑功能。

A B Y 2 C Y 1 图 P4.3 [解 ] 解: Y2AB BC AC Y1 ABC ( A B ) C Y2 ABC ( A B ) BC AC C AB ABC ABC ) ABC ABC 真值表: A B C Y1 Y2 00000 00110 01010 01101 10010 10101 11001 11111 由真值表可知:电路构成全加器,输入 A 、B 、C 为加数、被加数和低位的进位,Y 1为“和”, Y 2为“进位”。 [题 4.4]图 P4.4 是对十进制数9 求补的集成电路CC14561 的逻辑图,写出当COMP=1 、Z=0 、和 COMP=0 、 Z=0 时, Y 1~ Y 4的逻辑式,列出真值表。

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

西安电子科技大学网教数字逻辑电路模拟题资料

西安电子科技大学网教数字逻辑电路模拟 题

模拟试题一 一、单项选择题(每题 2分,共30分) 1 、下列数中最大的数是 [ ] 。 A ( 3.1 ) H B ( 3.1 ) D C (3.1) O D (11.1) B 2 、( 35.7 ) D 的余 3BCD 是 [ ] 。 A 00110101.0111 B 00111000.1010 C 00111000.0111 D 01101000.1010 3 、与非门的输出完成 F= , 则多余输入端 [ ] 。 A 全部接高电平 B 只需一个接高电平即可 C 全部接地电平 D 只需一个接地即可 4 、逻辑函数 F= + B 的最小项标准式为 [ ] 。 A F= B F= C F= D F= 5 、与 AB + AC +相等的表达式为 [ ] 。 A C B C + C D A + 6 、函数 F=(A + C)(B +) 的反函数是 [ ] 。 A G=( + B) ·+· B G=A + C + B · C G=(A +) · C + B · D G=(A ) ·+ (B+ ) 7 、逻辑函数的逻辑相邻项是 [ ] 。 A A C B A C B D D ABC

8 、已知输入 A 、 B 和输出 F 的波形如图所示, 其 F 与 AB 的逻辑关系为 [ ] 。 A 与非 B 或非 C 异或 D 同或 9 、下列逻辑部件属于时序电路的是 [ ] 。 A 译码器 B 触发器 C 全加器 D 移位寄存器 10 、数据选择器的功能是 [ ] 。 A 将一路输入送至多路输出 B 将输入二进制代码转换为特定信息输出 C 从多路输入选择一路输出 D 考虑低位进位的加法 11 、逻辑函数用卡诺图化简时,八个逻辑相邻项合并可消去 [ ] 。 A 一个变量 B 二个变量 C 三个变量 D 四个变量 12 、 JK 触发器从 0 1, 则激励端 J 、 K 的取值为 [ ] 。 A JK=1X B JK=X0 C JK=X1 D JK=0X 13 、移位寄存器的现态为 0110 ,经过左移一位后,其次态为 [ ] 。 A 0110 或 1011 B 1011 或 1010 C 0110 或 1110 D 1101 或 1100 14 、 4 级触发器组成计数器,组成 13 进制计数器,其无效的状态数为 [ ] 。 A 3 个 B 4 个 C 13 个 D 16 个 15 、 N 级触发器组成环形计数器,其进位模为 [ ] 。 A N B 2N C D 二、填空题(每题 2 分,共 10 分) 1. 格雷码的特征是 ________________ 。 2. F= =________________ 。

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

金陵科技学院数字逻辑电路期末考试试卷

分)20一、选择题(每小题2分,共 。273)中,它的第三位数2 的位权为___B___1.八进制(8 (8) D.B.(64) C.(256)A.(128) 10101010 与它功能相等的函数表达式已知逻辑表达式2. C?AC?BF?AB, _____B____。CF?AB?ABF?A.B.C?AB?AF C?AB?BF..CD 数字系统中,采用____C____可以将减法运算转化为加法运算。3. 码BCD D.补码B.ASCII码C.A.原码 ___B_____其反 映的逻辑关系是。4.对于如图所示波形,D.无法判 断.异或关系C.同或关系A.与关系B____B_____1的结果是连续异或。1985个5. .逻辑概念错误C.不确定D.0B.1 A功能相等的表达式为6. 与逻辑函数___C_____。DC?F?A?B? D?AF??B?CD??C?F?AB.BA.DC?F?ABF?ACDB? D..C为高阻态的逻辑FC=1时,;时,F=7.下列所给三态门中,能实现 C=0AB A

& 。功能的是____A______ F B A & C EN B F B C A A &&EN F B F B A C C EN EN C D 页7 共页1 第卷答案3 数字逻辑电路 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A. 500KHz B.200KHz 50KHz .. 100KHz D D CP Q 。9.下列器件中,属于时序部件的是_____A_____ .多路选择器D C.加法器BA.计数器.译码器 ,”“5.下图是共阴极七段LED数码管显示译码器框图,若要显示字符10 。应为____C______则译码器输出a~g0011011 D.1100011 C. 1011011

组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=0、Z=0的真值表从略。 [题] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题的真值表如表所示,逻辑图如图(b)所示。

数字逻辑电路复习题1

《数字逻辑电路》复习题 一、填空题(每空2分,共30分) 1.逻辑函数的两种标准形式分_______________,_______________ 2.将2004个“1”异或起来得到的结果是_______________。 3.半导体存储器的结构主要包含三个部分,分别是_______________、_______________、_______________。 4.8位D/A 转换器当输入数字量10000000为5v 。若只有最低位为高电平,则输出电压为_______________v ;当输入为10001000,则输出电压为_______________v 。 5.就逐次逼近型和双积分型两种A/D 转换器而言,_______________的抗干扰能力强,_______________的转换速度快。 6.由555定时器构成的三种电路中,_______________和______________是脉冲的整形电路。 7.与PAL 相比,GAL 器件有可编程的输出结构,它是通过对_______________进行编程设定其_______________的工作模式来实现的,而且由于采用了_______________的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题(共15分) 1. 将逻辑函数 P=AB+AC 写成“与或非”表达式,并用“集电极开路与非门”来实现。 三、分析图3所示电路(15分) 1) 画出A2、A1、A0从000~111连续变化时,Y 的波形图; 2) 说明电路的逻辑功能。 Y A B C =+

四、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(20分)

组合逻辑电路练习题及答案

组合逻辑电路练习题及答案 一.填空题(10) 1.任何有限的逻辑关系,不管多么复杂,其逻辑函数都可通过逻辑变量的与、或、非三种运算符加以实现,但逻辑函数的一般表达式不是唯一的,而其标准表达式是唯一的。 2.任意两个最小项之积为0,任意两个最大项之和为1。 3.对于逻辑函数BC A F,但这 AB F,为了化简,利用逻辑代数的基本定理,可表示为C C A AB 可能引起0型险象,因为在B=1、C=1时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A。 4.当我们在计算机键盘上按一个标为“9”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为39。 5.在 3.3V供电的数字系统里,所谓的高电平并不是一定是 3.3V,而是有一个电压范围,我们把这个电压范围称为高电平容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平容限。 二.选择题(10) 1.在下列程序存储器的种类中,可在线改写的有 b d。 a. PROM; b. E2PROM; c. EPROM; d. FLASH_M 2.为了实现某种逻辑运算关系,其实现方法有多种多样,其中历史上曾经用到的有以下几种方式,但实现的空间密度最小、能耗最低、能得到普及应用的实现方式是d。 a. 机械式; b.电磁式; c. 分立元件式; d. 集成电路 3.在数字电路中,根据电路是否具有反馈记忆功能,将其分为组合逻辑电路和时序逻辑电路两种。下列各项中,为组合逻辑电路的是befgi ,为时序逻辑电路的是acdh。 a. 触发器; b. 译码器; c. 移位寄存器; d. 计数器; e. 加法器; f. 编码器;g. 数值比较器;h. 寄存器;i. 多路选择器 4.卡诺图上变量的取值顺序是采用b的形式,以便能够用几何上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII码; d. 十进制码 5.在可编程逻辑芯片中,有PROM、PAL、GAL、CPLD等多种结构方式,其中PROM是b,PAL 是c,GAL是a,CPLD是a。 a. 与阵列可编程; b.或阵列可编程; c. 与或阵列皆可编程 三.简答题(50) 1.分别画出JK和D触发器的电路符号图,并分别画出将JK触发器转换成D触发器以及将D触发器转换成JK触发器的电路连接图。 1

吉大11春学期数字逻辑电路复习题(专科_含答案)

吉大11春学期《数字逻辑电路》复习题 1、数制与编码 (-21)10 =(979 )10补 (78.8)16=( 120.05 )10 (0.375)10=( 0.011 )2 (-395)10 =( )9补 (65634.21)8=( 6B9C.44 )16 (121.02)16=(10201.0012 )4 (49)10 =( 110001 )2=( 31 )16 (-1011)2 =( 10100 )反码=( 10101 )补码 如果用奇校验传送的数据部分为0111001,则所加校验位应为( 1 )。 2、化简逻辑函数F (A 、B 、C 、D )=∏M (0、2、5、7、8、10、1 3、15)。 答:ˉB ˉD+BD 3、说明同步时序逻辑电路的分析步骤。 ①、写出方程式 ⑤、画状态转换图 ②、写驱动方程 ⑥、画时序波形图 ③、写状态方程 ⑦、分析其功能 ④、填状态方程表 ⑧、检查自启动 4、说明什么是组合逻辑电路。 对于数字逻辑电路,当其任意时刻的稳定输出仅仅取决于该时刻的输入变量的取值,而与过去的输出状态无关,则称该电路为组合逻辑电路,简称组合电路。 5、说明什么是Moore 型时序逻辑电路。 若时序逻辑电路的输出仅仅是电路状态的函数,则称为Moore 型时序逻辑电路。 6、完成下列代码之间的转换: (1)(0101 1011 1101 0111.0111)8421BCD =( 5997.7 )10; (2)(359.25)10=( 0110 1000 1100.01011 )余3; (3)(10101)余3=( 0111 0000 0110 0010 )8421BCD 。 7、试写出下列二进制数的典型Gray 码:101010,10111011。 答:典型格雷码的编码规则为: 1n n i i i G B G B B +=??=⊕? 101010的Gray 码是:111111 10111011的Gray 码是:11100110 8、化简逻辑函数F (A 、B 、C 、D )=∑m (3、4、10、11、12、13、14、15) 答:-A-BD+ABC+CD+AC

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

《数字逻辑电路》复习资料

《数字逻辑电路》复习资料 1. 十六进制数(1DE.58)16转换为二进制数为_________________。 2. TTL 与非门的多余输入端悬空时,相当于输入_______电平。 3. T 触发器的特性方程为:_________________,T ’触发器的特性方程为 _________________。 4. 时序逻辑电路由_____________和存储单元两部分组成。存储单元是由若干个 ________组成。 5. 用反馈置数法得到N进制计数器,确定置数代码是关键。对于同步清零而言, 以________为置数输出代码;对于同步清零而言,以________为置数输出代码。 答案: 1.(111011110.010101)2 2.高 3.n n Q T Q ⊕=+1;n n Q Q =+1 4.组合逻辑电路;触发器 5.N ;N-1 1.八进制(273)8中,它的第三位数2的位权为( ) A. (128)10 B. (64)10 C. (256)10 D. (8)10 2.已知逻辑表达式D C B A F +++=,与它逻辑功能相等的函数表达式是( ) A. D C B A F +++= B. D C B A F +++= C. D C B A F = D. D C B A F += 3.BC A F +=的对偶式为( ) A. BC A + B. )(C B A +? C. C B A ++ D. ABC 4.下列四个器件中,哪一项不可以用来作为数字电路中的基本开关单元( ) A. 二极管 B. 放大器 C. 三极管 D. 场效用管 5.对于n 位二进译编码器而言,它的输入和输出信号数目为( ) A. 2n 和n B. n 和2n C. n 和n D. 1 2 n +和n 6.对于下图所示波形,其中A 、B 是输入,C 是输出,其反应的输入、输出之间的逻辑关系是( ) B C A

《数字逻辑电路》期末考试试题

《数字逻辑电路》期末考试试题 一、单项选择题(本大题共15小题,每小题2分,共30分) 1.下列数中最小的数是 A .(1000.1)2 B .(10.3)8 C . (8.3)10 D .(8.3)16 2.与(77)8相等的8421BCD 码数是 A .(01110111)85421BCD B .(01100011)8421BCD C .(10010011)8421BC D D .(10010110)8421BCD 3.已知输入A 、B 和输出F 的波形如图1.1所示,由此判断F 与A 、B 的逻辑关系是 A .或非 B .异或 C .与非 D .同或 4.在下列电路中,能实现C AB F +=的电路是 5.函数C B A C B D C B A F ?+++=)(的反函数是 A .[] C B A C B D C B A F +++++=))(( B .[]C B A C B D C B A F +++++=))(( C .[]C B A C D B C B A F ++++++= D . [] C B A C B D C B A F +++++=)( 6.与函数AD D C C A F ++=相等的函数式是 A .C A F = B .D C F = C .A D C F += D .AD F = 7.已知函数C B A F ++=,问使函数0=F 的输入组合是 A B C A B C A B C D A B F 图1.1

A .ABC=001 B .ABC=110 C .ABC=000 D .ABC=010 8.逻辑项CD B A ,其逻辑相邻项的是 A .BCD A B .D B C A C . D C AB D .D C B A 9.逻辑函数D B A D AB D BC CD F +++=的最简与或式是 A .F CD BD =+ B .F CD BD =+ C .F BD CD =+ D .F BD CD =+ 10.逻辑函数C B C A F +?=,其约束条件为0=AB ,它的最简与或非式是 A . F A C B C =+++ B . F A C B C =+++ C .F A C B C =+++ D .F A C B C =+++ 11.函数∑= )15,13,12,9,8,7,5,1(F 的最简与或式是 A .D A C B D C F ?++= B . D B D C C A F ?++= C .BD D C C A F ++= D .AD C B D C F ++= 12.D 触发器置1,其激励端D 的取值为 A .0=D B .1=D C .n Q D = D .1 +=n Q D 13.T 触发器组成电路如图1.2所示,它完成的功能是 A .T 触发器 B .JK 触发器 C .D 触发器 D .RS 触发器 14.n 位DAC 电路的精度为 A .121-n B .12 1-n C .n 2 D .12-n 15.555定时电路当R d =0时,其输出状态为 A .保持 B .对输出无影响 C .1 D .0 二、填空题(本大题共5小题,每小题2分,共10分) 16.余3BCD 码0111表示的十进制数是 。 17、1⊕⊕=A A F = 。 A 图1.2

第4章组合逻辑电路习题解答

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。(基本题属于4.1节) 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。(基本题属于4.1节) 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.(基本 题属于4.1节) 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。(基本题属于4.1节) (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 L B A =1 =1 =1 F F B A

习题4.4图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) (3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。(基本题属于4.1节) 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 4.6试分析图所示电路的逻辑功能,并用最少的与非门实现。(综合题属于4.1、4.2节) 10

数字逻辑电路期末考试试卷及答案

请浏览后下载,资料供参考,期待您的好评与关注! 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F + +=, 与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装 请浏览后下载,资料供参考,期待您的好评与关注!

相关文档
相关文档 最新文档