文档库 最新最全的文档下载
当前位置:文档库 › 数字直流电压表的设计(附程序及电路图)

数字直流电压表的设计(附程序及电路图)

数字直流电压表的设计(附程序及电路图)
数字直流电压表的设计(附程序及电路图)

数字直流电压表的设计实验报告

要求:设计一个能测量直流电压并显示的数字电压表。

直流电压输入范围:0V~5V,最小分辨率0.5V,准确率>80%,偏差<30%。

数码显示至少3位。

对于ad采样我们采取的是PCF8591,PCF8591是一个单片集成、单独供电、低功耗、8-bit CMOS数据获取器件。PCF8591具有4个模拟输入、1个模拟输出和1个串行I2C总线接口。PCF8591的3个地址引脚A0, A1和A2可用于硬件地址编程,允许在同个I2C总线上接入8个PCF8591器件,而无需额外的硬件。在PCF8591器件上输入输出的地址、控制和数据信号都是通过双线双向I2C总线以串行的方式进行传输。

PCF8591的功能包括多路模拟输入、内置跟踪保持、8-bit模数转换和8-bit数模转换。PCF8591的最大转化速率由I2C总线的最大速率决定。

具有以下特性:

【1】单独供电

【2】PCF8591的操作电压范围2.5V-6V

【3】低待机电流

【4】通过I2C总线串行输入/输出

【5】PCF8591通过3个硬件地址引脚寻址

【6】PCF8591的采样率由I2C总线速率决定

【7】4个模拟输入可编程为单端型或差分输入

【8】自动增量频道选择

【9】PCF8591的模拟电压范围从VSS到VDD

【10】PCF8591内置跟踪保持电路

【11】8-bit逐次逼近A/D转换器

【12】通过1路模拟输出实现DAC增益

该电路的电路图如下图所示,由于proteus比较理想,单片机最小系统没有画出,实际中缺少,单片机是不能运行的。PCF8591是八位的ad采样,最小分辨率可以达到0.02V。

配套实验程序:

Ad_da.c

/*-----------------------------------------------

名称:IIC协议PCF8591ADDA转换

内容:此程序通过IIC协议对DAAD芯片操作,读取电位器的电压通过液晶显示,并输出模拟量,用LED亮度渐变指示

------------------------------------------------*/

#include //包含头文件,一般情况不需要改动,头文件包含特殊功能寄存器的定义

#include //包含NOP空指令函数_nop_();

#include

#define AddWr 0x90 //写数据地址

#define AddRd 0x91 //读数据地址

sbitSda=P1^2; //定义总线连接端口

sbitScl=P1^1;

bit ADFlag; //定义AD采样标志位

//unsigned char code Datatab[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};//7段数共阴码管段码表//data unsigned char Display[8];//定义临时存放数码管数值

/*------------------------------------------------

延时程序

------------------------------------------------*/

voidmDelay(unsigned char j)

{

unsignedinti;

for(;j>0;j--)

{

for(i=0;i<125;i++)

{;}

}

}

/*------------------------------------------------

初始化定时器1

------------------------------------------------*/

void Init_Timer1(void)

{

TMOD |= 0x10;

TH1=0xff; /* Init value */

TL1=0x00;

//PT1=1; /* 优先级*/

EA=1; /* interupt enable */

ET1=1; /* enable timer1 interrupt */

TR1=1;

}

/*------------------------------------------------

启动IIC总线

------------------------------------------------*/

void Start(void)

{

Sda=1;

_nop_();

Scl=1;

_nop_();

Sda=0;

_nop_();

Scl=0;

}

/*------------------------------------------------ 停止IIC总线

------------------------------------------------*/ void Stop(void)

{

Sda=0;

_nop_();

Scl=1;

_nop_();

Sda=1;

_nop_();

Scl=0;

}

/*------------------------------------------------ 应答IIC总线

------------------------------------------------*/ voidAck(void)

{

Sda=0;

_nop_();

Scl=1;

_nop_();

Scl=0;

_nop_();

}

/*------------------------------------------------ 非应答IIC总线

------------------------------------------------*/ voidNoAck(void)

{

Sda=1;

_nop_();

Scl=1;

_nop_();

_nop_();

}

/*------------------------------------------------ 发送一个字节

------------------------------------------------*/ void Send(unsigned char Data)

{

unsigned char BitCounter=8;

unsigned char temp;

do

{

temp=Data;

Scl=0;

_nop_();

if((temp&0x80)==0x80)

Sda=1;

else

Sda=0;

Scl=1;

temp=Data<<1;

Data=temp;

BitCounter--;

}

while(BitCounter);

Scl=0;

}

/*------------------------------------------------ 读入一个字节并返回

------------------------------------------------*/ unsigned char Read(void)

{

unsigned char temp=0;

unsigned char temp1=0;

unsigned char BitCounter=8;

Sda=1;

do

Scl=0;

_nop_();

Scl=1;

_nop_();

if(Sda)

temp=temp|0x01;

else

temp=temp&0xfe;

if(BitCounter-1)

{

temp1=temp<<1;

temp=temp1;

}

BitCounter--;

}

while(BitCounter);

return(temp);

}

/*------------------------------------------------

写入DA数模转换值

------------------------------------------------*/

void DAC(unsigned char Data)

{

Start();

Send(AddWr); //写入芯片地址

Ack();

Send(0x40); //写入控制位,使能DAC输出Ack();

Send(Data); //写数据

Ack();

Stop();

}

/*------------------------------------------------

读取AD模数转换的值,有返回值

------------------------------------------------*/

unsignedintReadADC(unsigned char Chl)

{

unsignedint Data;

Start(); //写入芯片地址

Send(AddWr);

Ack();

Send(0x40|Chl);//写入选择的通道,本程序只用单端输入,差分部分需要自行添加

//Chl的值分别为0、1、2、3,分别代表1-4通道

Ack();

Start();

Send(AddRd); //读入地址

Ack();

Data=Read(); //读数据

Data=Data*196;//还原电压值近似

Data=Data/100;

Scl=0;

NoAck();

Stop();

return Data; //返回值

}

/*------------------------------------------------

主程序

------------------------------------------------*/

void main()

{

unsigned char num; //DA数模输出变量

unsigned char ADtemp; //定义中间变量

InitLcd();

mDelay(20);

Init_Timer1();

while(1)

{

DAC(num); //DA输出,可以用LED模拟电压变化

num++; //累加,到256后溢出变为0,往复循环。显示在LED上亮度逐渐变化

mDelay(20); //延时用于清晰看出变化

if(ADFlag) //定时采集输入模拟量

{

ADFlag=0;

ADtemp=ReadADC(0);

TempData[0]=(ReadADC(0))/100;//处理0通道电压显示

TempData[1]=((ReadADC(0))%100)/10;

ADtemp=ReadADC(1);

TempData[2]=(ReadADC(1))/100;//处理1通道电压显示此通道暂时屏蔽,可以自行添加

TempData[3]=((ReadADC(1))%100)/10;

ADtemp=ReadADC(2);

TempData[4]=(ReadADC(2))/100;//处理1通道电压显示此通道暂时屏蔽,可以自行添加

TempData[5]=((ReadADC(2))%100)/10;

ADtemp=ReadADC(3);

TempData[6]=(ReadADC(3))/100;//处理1通道电压显示此通道暂时屏蔽,可以自行添加

TempData[7]=((ReadADC(4))%100)/10;

disp();

}

}

}

/*------------------------------------------------

定时器中断程序

------------------------------------------------*/

void Timer1_isr(void) interrupt 3 using 1//定时器1执行数码管动态扫描

{

static unsigned int j;

TH1=0xfb; //重新赋值

TL1=0x00;

j++;

if(j==200)

{j=0;ADFlag=1;} //定时置位AD采样标志位

// P0=Display[count];//用于动态扫描数码管

// P2=count;

// count++;

// if(count==8) //表示扫描8个数码管

// count=0;

}

Lcd1602.h

#include

#include

#include

sbit RS = P2^0;//Pin4

sbit RW = P2^1; //Pin5

sbit E = P2^2;//Pin6

#define Data P0 //数据端口

unsigned char T empData[8];

unsigned char SecondLine[]=" ";

unsigned char FirstLine[] =" ";

/******************************************************************/ /* 函数声明*/ /******************************************************************/ voidDelay Us(unsigned char us)//delay us

{

unsigned char uscnt;

uscnt=us>>1;/* Cry stal frequency in 12MHz*/

while(--uscnt);

}

/******************************************************************/ voidDelayMs(unsigned char ms)//delay Ms

{

while(--ms)

{

DelayUs(250);

DelayUs(250);

DelayUs(250);

DelayUs(250);

}

}

voidWriteCommand(unsigned char c)

{

DelayMs(5);//short delay before operation

E=0;

RS=0;

RW=0;

_nop_();

E=1;

Data=c;

E=0;

}

/****************************************************************/ voidWriteData(unsigned char c)

{

DelayMs(5); //short delay before operation

E=0;

RS=1;

RW=0;

_nop_();

E=1;

Data=c;

E=0;

RS=0;

}

/*********************************************************************/ voidShowChar(unsigned char pos,unsigned char c)

{

unsigned char p;

if (pos>=0x10)

p=pos+0xb0; //是第二行则命令代码高4位为0xc

else

p=pos+0x80; //是第二行则命令代码高4位为0x8

WriteCommand (p);//write command

WriteData (c); //write data

}

/*************************************************************************/ voidShowString (unsigned char line,char *ptr)

{

unsigned char l,i;

l=line<<4;

for (i=0;i<16;i++)

ShowChar (l++,*(ptr+i));//循环显示16个字符

}

/*********************************************************************/ voidInitLcd()

{

DelayMs(15);

WriteCommand(0x38); //display mode

WriteCommand(0x38); //display mode

WriteCommand(0x38); //display mode

WriteCommand(0x06); //显示光标移动位置

WriteCommand(0x0c); //显示开及光标设置

WriteCommand(0x01); //显示清屏

}

/////////////////////////////////////////////////////////////////

voiddisp(void)

{

FirstLine[2]='0'+T empData[0]; FirstLine[4]='0'+T empData[1]; FirstLine[3]='.';

FirstLine[6]='V';

FirstLine[9]='0'+T empData[2]; FirstLine[11]='0'+T empData[3]; FirstLine[10]='.';

FirstLine[13]='V';

SecondLine[2]='0'+T empData[4]; SecondLine[4]='0'+T empData[5]; SecondLine[3]='.'; SecondLine[6]='V';

SecondLine[9]='0'+T empData[6]; SecondLine[11]='0'+T empData[7]; SecondLine[10]='.'; SecondLine[13]='V';

ShowString(0,FirstLine);

ShowString(1,SecondLine);

}

直流数字电压表课程设计报告设计

电子技术基础 课程设计 题目名称:直流数字电压表 指导教师:唐治德 学生班级: 学号: 学生姓名: 评语: 成绩: 重庆大学电气工程学院 2015年7月3日

目录一、内容摘要 二.课程设计任务与要求 2.1设计目的 2.2设计求 三.设计思路和方案选择 3.1 设计思路 3.2 方案选择 四.工作原理 4.1 基本原理框图 4.2 ICL7107的工作原理 4.3原理图 五.电路设计与仿真 六、系统调试与结果分析 6.1调试方法 6.2测试结果分析 六.元器件清单 八、总结及心得体会 九、参考文献

内容摘要 伴随着电子技术科学的发展,电子测量技术已成为广大电子技术工作者必须掌握的一门科学技术,同时对测量的精度和功能的有着更高的要求。电压是电子测量的一个主要参数,由于电压测量在电子测量中的普遍性与重要性,因此对电压测量的研究与设计有着非常重要的意义。本次设计的主要设计内容为三档直流电压表。在设计过程中由于第一次接触这种芯片,对该芯片不是很熟悉,我们参阅了大量前人的设计,在此基础上,运用A / D转换器ICL7107构建了一个直流数字电压表。本设计首先简要介绍了设计电压表的主要方式,然后详细介绍了直流数字电压表的设计流程和芯片的工作原理,本设计中我们展示了两种方案,手动换挡的自动换挡,在各方案中也给出了两种方案的优缺点。同时也给出了硬件电路的设计细节,包括各部分电路的走向、芯片的选择以及方案的可行性分析等。 关键字:ICL7107芯片,数字电压表,A\D转换,比较器,CC4006双向模拟开关。 课程设计任务及要求 2.1设计目的 1、掌握双积分A/D转换的工作原理和集成双积分A/D转换器件的设计方法 2、掌握常用数字集成电路的功能和使用 2.2设计要求 1.设计直流数字电压表 2.直流电压测量范围: 0V~1.999V,0V~19.99V,0V~199.9V。 3.直流输入电阻大于100kΩ。 4.画出完整的设计电路图,写出总结报告。 5.选做内容:自动量程转换。 设计思路和方案选择

基于单片机的数字电压表设计

引言 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。本论文重点介绍单片A/D 转换器以及由它们构成的基于单片机的数字电压表的工作原理。

1 实训要求 (1)基本要求: ①实现8路直流电压检测 ②测量电压范围0-5V ③显示指定电压通道和电压值 ④用按键切换显示通道 (2)发挥要求 ①测量电压范围为0-25V ②循环显示8路电压 2 实训目的 (1)进一步熟悉和掌握单片机的结构和工作原理; (2)掌握单片机的借口技术及,ADC0809芯片的特性,控制方法; (3)通过这次实训设计,掌握以单片机为核心的电路设计的基本方法和技术;(4)通过实际程序设计和调试,逐步掌握模块化程序设计的方法和调试技术。 3 实训意义 通过完成一个包括电路设计和程序开发的完整过程,使自身了解开发单片机应用系统的全过程,强化巩固所学知识,为以后的学习和工作打下基础。 4 总体实训方案 测量一个0——5V的直流电压,通过输入电路把信号送给AD0809,转换为数字信号再送至89s52单片机,通过其P1口经数码管显示出测量值。 4.1 结构框图 如图1—1所示 图1—1

DIY数字显示直流电压表

DIY数字显示直流电压表 最近想做一个电源,因为经常DIY,没有一个电源不像样子,虽然是业余的,但是电压有时也会有不同的电压值,如做成固定的电压应用起来就不方便,如做成可调的,电源值就不能直观的展示出来,每调一次就用万用表量一起也不方便。如果有一个电压表装在电源上就方便多了,指针式的表头读起数来总是有点别扭,所以就想找一个数字式的电压表头。因此在这样的背景下自己通过DIY 制作了一个4位数字显示的电压表头。 做数字式电压表用什么IC好呢?选来选去最后决定用ICL7017吧!定好芯片就开要画个完整的电路图。既然要做就做好点,不想用洞洞板来接线路板,电线飞来飞去的有点头痛的感觉,所以还要画一块PCB板。电路图及PCB板的设计如下图示:

有了图就要准备物料了,不想一个一个的写出来,给个物料清单吧如下 组件编号 组件数值组件规格用量 号 C1 0.1uF 瓷片电容±20% 50V 1 C2 100P 瓷片电容±5% 50V 1 C3 0.1uF 金属膜电容±5% 63V 1 C4 0.1uF 独石电容±5% 63V 1 C6 0.22uF 金属膜电容±5% 63V 1 C5 0.47uF 金属膜电容±5% 63V 1 C7,C8 10uF/25V 电解电容+80-20% 2 R1 150Ω金属膜电阻±1% 1/4W 1 R8 1K 金属膜电阻±1% 1/4W 1 R9 1M 1/2W 金属膜电阻±1% 1/2W 1 R7 1M 金属膜电阻±1% 1/4W 1 R3 2.95K 金属膜电阻±1% 1/4W 1 R2,R5 10K 金属膜电阻±1% 1/4W 2 R4 20K 金属膜电阻±1% 1/4W 1 R6 154K 金属膜电阻±1% 1/4W 1 R10 470K 金属膜电阻±1% 1/4W 1 VR2 5K 精密微调电阻922C0 W 502 1 D2,D3 4148 ST 1N4148 DO-35 2 J1,J2 DC5V 鱼骨针2pin 2 D1 DIODE 1N4004 DO-41 1 DS1~4 HS-5161BS2 共阳8段数码管 4 U1 ICL7107 IC ICL7107CPLZ DIP-40 1 U2 TC4069 IC TC4069UBP DIP-14 1 U3 TL431 IC TL431A TO-92 1 IC插座14 pin 2.54mm 1 IC插座40 pin 2.54mm 1 PCB光板36x68x1.6mm 双面FR-4 1 塑料外壳尺寸要与PCB板配合,网上购的 1 镙丝 4 锡线适量 工具就是电子爱好者的常用工具了

ICL7106数字电压表电路及组装要点

ICL7106数字电压表电路及组装要点 数字电压表是当前电子、电工、仪器、仪表和测量领域大量使用的一种基本测量工具。有关数字电压表的书籍和应用已经非常普及了。这里展示的一份由 ICL7106 A/D 转换电路组成的数字电压表电路,就是一款最通用和最基本的电路。 ICL7106是美国Intersil公司专为数字仪表生产的数字仪,满幅输入电压一般取200mV 或2V。该芯片集成度高,转换精度高,抗干扰能力强,输出可直接驱动LCD液晶数码管,只需要很少的外部元件,就可以构成数字仪表模块。 一、ICL7106简介 1. ICL7106的性能特点 (1)+7V~+15V单电源供电,可选9V叠层电池,有助于实现仪表的小型化。低功耗(约16mW),一节9V叠层电池能连续工作200小时或间断使用半年左右。 (2)输入阻抗高(1010Ω)。内设时钟电路、+2.8V基准电压源、异或门输出电路,能直接驱动3?位LCD显示器。 (3)属于双积分式A/D转换器,A/D转换准确度达±0.05%,转换速率通常选2次/秒~5次/秒。具有自动调零、自动判定极性等功能。通过对芯片的功能检查,可迅速判定其质量好坏。 (4)外围电路简单,仅需配5只电阻、5只电容和LCD显示器,即可构成一块DVM。其抗干扰能力强,可靠性高。 2. ICL7106的工作原理 ICL7106内部包括模拟电路和数字电路两大部分,二者是互相联系的。一方面由控制逻辑产生控制信号,按规定时序将多路模拟开关接通或断开,保证A/D 转换正常进行;另一方面模拟电路中的比较器输出信号又控制着数字电路的工作状态和显示结果。下面介绍各部分的工作原理。 (1)模拟电路 模拟电路由双积分式A/D转换器构成,其电路如图1所示。

基于51单片机的数字电压表设计

目录 摘要........................................................................ I 1 绪论. (1) 1.1数字电压表介绍 (1) 1.2仿真软件介绍 (1) 1.3 本次设计要求 (2) 2 单片机和AD相关知识 (3) 2.1 51单片机相关知识 (3) 2.2 AD转换器相关知识 (4) 3 数字电压表系统设计 (5) 3.1系统设计框图 (5) 3.2 单片机电路 (5) 3.3 ADC采样电路 (6) 3.4显示电路 (6) 3.5供电电路和参考电压 (7) 3.6 数字电压表系统电路原理图 (7) 4 软件设计 (8) 4.1 系统总流程图 (8) 4.2 程序代码 (8) 5 数字电压表电路仿真 (15) 5.1 仿真总图 (15) 5.2 仿真结果显示 (15) 6 系统优缺点分析 (16) 7 心得体会 (17) 参考文献 (18)

1 绪论 1.1数字电压表介绍 数字电压表简称DVM,数字电压表基本原理是将输入的模拟电压信号转化为数字信号,再进行输出显示。而A/D转换器的作用是将连续变化的模拟信号量转化为离散的数字信号,器基本结构是由采样保持,量化,编码等几部分组成。因此AD转换是此次设计的核心元件。输入的模拟量经过AD转换器转换,再由驱动器驱动显示器输出,便得到测量的数字电压。 本次自己的设计作品从各个角度分析了AD转换器组成的数字电压表的设计过程及各部分电路的组成及原理,并且分析了数模转换进而使系统运行起来的原理及方法。通过自己的实践提高了动手能力,也只有亲历亲为才能收获掌握到液晶学过的知识。其实也为建立节约成本的意识有些帮助。本次设计同时也牵涉到了几个问题:精度、位数、速度、还有功耗等不足之处,这些都是要慎重考虑的,这些也是在本次设计中的收获。 1.2仿真软件介绍 Proteus ISIS是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows 操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,该软件的特点是: (1)现了单片机仿真和SPICE电路仿真相结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。 (2)支持主流单片机系统的仿真。目前支持的单片机类型有:68000系列、8051系列、 A VR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各种外围芯片。 (3)提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;同时支持第三方的软件编译和调试环境,如Keil C51 uVision2等软件。 (4)具有强大的原理图绘制功能。 可以仿真51系列、A VR、PIC、ARM、等常用主流单片机。还可以直接在基于原理图的虚拟原型上编程,再配合显示及输出,能看到运行后输入输出的效果。配合系统配置的

直流数字电压表毕业设计

毕业设计 姓名:孟冬冬 专业:电气自动化 班级:电气1001班 设计课题:数字电压表的设计指导教师:杨喜录 电子信息工程系印制 二○一二年九月

宝鸡职业技术学院毕业设计任务书 姓名:孟冬冬 专业:电气自动化 班级:电气1001班 设计课题:数字电压表的设计 指导教师:杨喜录 电子信息工程系印制 二○一二年九月

引言 数字电压表是采用数字化电路测量的电压仪表。它以其高准确度、高可靠性、高分辨率、高性价比、读数清晰方便、测量速度快、输入阻抗高等优良特性而倍受人们的青睐。数字电压表是诸多数字化仪表的核心与基础。以数字电压表为核心,可以扩展成各种通用数字仪表、专用数字仪表及各种非电量的数字化仪表(如:温度计、湿度计、酸度计、重量、厚度仪等),几乎覆盖了电子电工测量、工业测量、自动化仪表等各个领域。因此对数字电压表作全面深入的了解是很有必要的。传统的模拟式(即指针式)电压表已有100多年的发展史,虽然不断改进与完善,仍无法满足现代电子测量的需要,数字电压表自1952年问世以来,显示强大的生命力,现已成为在电子测量领域中应用最广泛的一种仪表。

数字电压表简称DVM (Digital Voltmeter ),它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等领域,显示出强大的生命力。与此同时,由DVM 扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。智能化数字电压表则是最大规模集成电路(LSI )、数显技术、计算机技术、自动测试技术(ATE )的结晶。一台典型的直流数字电压表主要由输入电路、A/D 转换器、控制逻辑电路、计数器(或寄存器)、显示器,以及电源电路等级部分组成。它的数字输出可由打印机记录,也可以送入计算机进行数据处理。 系统概述 数字电压表是将被测模拟量转换为数字量,并进行实时数字显示的数字系统。 该系统(如图1所示)可由MC14433--32 1位A/D 转换器、MC1413七路达林顿驱动器阵列、CD4511 BCD 到七段锁存-译码-驱动器、能隙基准电源MC1403和共阴极LED 发光数码管组成。

多量程直流数字电压表

电子技术课程设计报告 专业班级: 学生学号: 学生姓名: 指导教师: 设计时间: 自动化与电气工程学院

设计课题题目: 多量程直流数字电压表 一、设计任务与要求 1.设计并制作一个直流稳压电源,设计要求为 (1) 输入电压为220V (2) 输出电压为±5V 2.设计一个2 13 直流数字电压表,设计要求为 分辨率 (1) 测量量程:基本量程:200mV 0.1mV 扩展量程:2V 1mV 20mV 0.01mV (2) 测量范围: 0mV~2V (3 ) 显示范围:十进制数0~1999 (4) 使用双积分A/D 转换器ICL7107完成直流电压的数字化转换 二、电路原理分析与方案设计 1. 设计要求分析 数字电压表由电阻网络(量程调整)、直流放大(运放组成)、电压极性判断、A/D 转换、数码(液晶)显示等部分组成。 直流数字电压表主要完成对电位器或外部电压的测量与显示。因此,为了适应不同大小的的待测模拟电压信号,应该有测量量程的选择功能。ICL7107是双积分式三位半A/D 转换器,可构成基本量程200Mv,而扩展量程20V 可由电阻电位器分压,2V 量程可由运放放大。 2. 方案设计 (1)±5V 直流稳压电源 首先通过中心抽头的18V 电源变压器,输出电压经过四个二极管组成的桥式整流电路整流后通过电容滤波,然后通过三端稳压管LM7805和KV7905分别对正负电压进行稳压,在对输出电压进行滤波,从而得到较为稳定的±5V 直流稳压电源。 (2)2 13 直流数字电压表 将输入电压分别通过电阻电位器和μA741运放放大器进行缩小和放大,将输出信号输入到ICL7107 A/D 转换器V-IN 端,经过A/D 转换电路、参考电压电路、复位电路、时钟电路等电路完成数据转换及传输,最后通过2 13 数码管进行显示。 三、单元电路分析与设计 1.单元电路原理分析 电源: (1) 电源变压器

基于STCC的数字电压表

基于S T C C的数字电压 表 文档编制序号:[KK8UY-LL9IO69-TTO6M3-MTOL89-FTT688]

1引言在电量的测量中,电压、电流和频率是最基本的三个被测量,其中电压量的测量最为经常。而且随着电子技术的发展,更是经常需要测量高精度的电压,所以数字电压表就成为一种必不可少的测量仪器。本文设计了一种基于单片机的简易数字电压表。该设计主要由三个模块组成:A/D转换模块,数据处理模块及显示模块。A/D转换主要由芯片ADC0804来完成,它负责把采集到的模拟量转换为相应的数字量在传送到数据处理模块。数据处理则由芯片STC89C52来完成,其负责把ADC0804传送来的数字量经过一定的数据处理,产生相应的显示码送到显示模块进行显示;此外,它还控制着ADC0804芯片工作。该系统的数字电压表电路简单,所用的元件较少,成本低,且测量精度和可靠性较高。此数字电压表可以测量0-5V的1路模拟直流输入电压值,并通过7段数码管显示出来。 2 设计总体方案 设计要求 ⑴以MCS-51系列单片机为核心器件,组成一个简单的直流数字电压表。 ⑵采用1路模拟量输入,能够测量0-5V之间的直流电压值。 ⑶电压显示用LED数码管显示,至少能够显示两位小数。 ⑷尽量使用较少的元器件。 设计思路 ⑴根据设计要求,选择STC89C52单片机为核心控制器件。 ⑵A/D转换采用ADC0804实现,与单片机的P1口相连接。 ⑶电压显示采用三个7段LED数码管显示,另外三位数码管显示A/D转换的数 字量的值。

⑷LED数码的段选码和位选码均由单片机P0口经过两片74HC573锁存器输入。 设计方案 硬件电路设计由6个部分组成; A/D转换电路,STC89C52单片机系统,LED显示系统、时钟电路、复位电路以及测量电压输入电路。硬件电路设计框图如图1所示。 图2-1 数字电压表系统硬件设计框图 3 硬件电路设计 单片机系统 本次课设选择的单片机是STC89C52,之所以选择这块芯片,是因为该芯片的各项功能均符合本次课设的指标要求,并且该芯片有很多成熟的资料供我们学习,使用用起来很方便,也有专门的下载程序平台,方便现场调试。 复位电路和时钟电路 单片机在启动运行时都需要复位,使CPU和系统中的其他部件都处于一个确定的初始状态,并从这个状态开始工作。MCS-51单片机有一个复位引脚RST,采用施密特触发输入。当震荡器起振后,只要该引脚上出现2个机器周期以上的高电平即可确保时器件复位。复位完成后,如果RST端继续保持高电平,MCS-51就

#简易数字电压表的设计

一、简易数字电压表的设计 l .功能要求 简易数字电压表可以测量0~5V 的8路输入电压值,并在四位LED 数码管上轮流显示或单路选择显示。测量最小分辨率为0.019 V ,测量误差约为土0.02V 。 2.方案论证 按系统功能实现要求,决定控制系统采用A T89C52单片机,A /D 转换采用ADC0809。系统除能确保实现要求的功能外,还可以方便地进行8路其它A /D 转换量的测量、远程测量结果传送等扩展功能。数字电压表系统设计方案框图如图1-1。 3.系统硬件电路的设 计 简易数字电压测量电 路由A /D 转换、数据处 理及显示控制等组成,电 路原理图如图1-2所示。A /D 转换由集成电路0809完 成。0809具有8路模拟输人 端口,地址线(23~25脚)可决定对哪一路模拟输入作A /D 转换,22脚为地址锁存控制,当输入为高电平时,对地址信号进行锁存,6脚为测试控制,当输入一个2us 宽高电平脉冲时,就开始A /D 转换,7脚为A /D 转换结束标志,当A /D 转换结束时,7脚输出高电平,9脚为A /D 转换数据输出允许控制,当OE 脚为高电平时,A /D 转换数据从该端口输出,10脚为0809的时钟输入端,利用单片机30脚的六分频晶振频率再通过14024二分频得到1 MHz 时钟。单片机的P1、P3.0~P3.3端口作为四位LED 数码管显示控制。P3.5端口用作单路显示/循环显示转换按钮,P3.6端口用作单路显示时选择通道。P0端口作A /D 转换数据读入用,P2端口用作0809的A /D 转换控制。 4.系统程序的设计 (1)初始化程序 系统上电时,初始化程序将70H ~77H 内存单元清0,P2口置0。 (2)主程序 在刚上电时,系统默认为循环显示8个通道的电压值状态。当进行一次测量后,将 图1-1 数字电压表系统设计方案

简易交直流电压表

沈阳航空航天大学 课程设计任务书 课程名称电子技术综合课程设计 院(系)专业 班级学号姓名 课程设计题目简易数字电压表电路的设计 课程设计时间: 年月日至年月日 课程设计的内容及要求: 一、设计说明 设计一个简易数字电压表,它可以测量直流、交流电压。其参考原理框图如图1所示。 图1数字电压表的原理框图 二、技术指标 测量电压的技术指标如表所示。 三、设计要求 1.在选择器件时,应考虑成本,要求采用LED显示。各量程的转换采用开关转换。

2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 四、实验要求 1.根据技术指标制定实验方案;验证所设计的电路。 2.进行实验数据处理和分析。 五、推荐参考资料 1.沙占友、李学芝著.中外数字万用表电路原理与维修技术. [M]北京:人民邮电出版社,1993年 2. 阎石. 数字电子技术基础. [M]北京:高等教育出版社,2006年 3. 童诗白、华成英.模拟电子技术基础. [M]北京:高等教育出版社,2006年 4. 戴伏生.基础电子电路设计与实践. [M]北京:国防工业出版社,2002年 5. 谭博学主编.集成电路原理与应用. [M]北京:电子工业出版社,2003年 六、按照要求撰写课程设计报告 指导教师年月日 负责教师年月日 学生签字年月日 成绩评定表

一、概述 数字电压表既是常用的一种数字电压表,也是构成数字万用表的基本电路。随着科技的发展,电子产品在不断更新,但数字电压表是永远不会在电子产品中消失。 设计一个简易数字电压表,它可以测量直流、交流电压。测量电压量程为2V、20V,输入电阻为10MΩ,分辨率分别对应为1mV、10mV;准确度是在温度为23±5℃情况下测直流时为±(0.5%RDG+3字),测交流时为±(1.0%RDG+3字);输入电阻为10MΩ;最大允许直流电压为±500V,最大允许交流电压为500V。 本设计是对电压测量电路作单独的研究,从实质上去了解万用表中测量电压的过程。电路涉及到对电路、低频、数字电路等知识的考查。 二、方案论证 方案一: 方案一原理方框图如图1所示。数字电压表由分压电路,输入保护及缓冲电路,交、直流变换电路,压频转换电路、译码显示电路组成。分压电路在电路中实现电压倍率变换起到将大电压转换成小电压的作用;输入保护及缓冲电路在电路中起到避免大电压输入对电路的烧坏;交、直流变换电路起到将交流电压转换成直流电压,且直流电压值为交流电压的有效值;压频转换电路将电压转换成对应的线性频率。译码显示电路时将频率的数值通过LED数码管显示出来。 图1 方案1的原理框图 方案二: 方案二的原理框图如图2所示,电路由分压电路,输入保护及缓冲电路,交、直流变换电路,A/D转换电路,单片机及译码显示电路组成。前几个模块的功能与方案一相同,不同的是方案中用到单片机对经过A/D转换器后的数字信号进行记录然后通过译码显示电路进行显示。

基于单片机的数字电压表

基于单片机的数字电压表 摘要:本文介绍一种基于89S52单片机的一种电压测量电路,该电路采用ICL7135高精度、双积分A/D转换电路,测量范围直流0-±2000伏,使用LCD液晶模块显示,可以与PC机进行串行通信。正文着重给出了软硬件系统的各部分电路,介绍了双积分电路的原理,89S52的特点,ICL7135的功能和应用,LCD1601的功能和应用。该电路设计新颖、功能强大、可扩展性强。 关键词:电压测量,ICL7135,双积分A/D转换器,1601液晶模块 Abstract: The introduction of a cost-based 89S52 MCU a voltage measurement circuits, the circuits used ICL7135 high-precision, dual-scoring A/D conversion circuits, measuring scope DC 0-2000 volts, the use of LCD that can be carried out with a PC serial communications. The paper focuses on providing a software and hardware system components circuit, introduced double integral circuit theory, 89S52 features ICL7135 functions and applications, LCD1601 functions and applications. the circuit design innovative, powerful, can be expansionary strong. Key Words: Digital Voltmeter ICL7135 LCD1601 89S52 1前言 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC进行实时通信。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。本章重点介绍单片A/D 转换器以及由它们构成的基于单片机的数字电压表的工作原理。

虚拟数字电压表的设计

摘要 LabVIEw 8.5版本的工程技术比以往任何一个版本都丰富.它采用了中文界面,各个控件的功能一目了然。利用它全新的用户界面对象和功能,能开发出专业化、可完全自定义的前面板。LabVIEw 8.5对数学、信号处理和分析也进行了重大的补充和完善,信号处理分析和数学具有更为全面和强大的库,其中包括500多个函数。所以在LabVIEw 8.5版本下能够更方便地实现虚拟电压表的设计。 虚拟电压表是基于计算机和标准总线技术的模块化系统,通常它由控制模块、仪器模块和软件组成,由软件编程来实现仪器的功能。在虚拟仪器中,计算机显示器是惟一的交互界面,物理的开关、按键、旋钮以及数码管等显示器件均由与实物外观相似的图形控件来代替,操作人员只要通过鼠标或键盘操作虚拟仪器面板上的旋钮、开关、按键等设置各种参数,就能根据自己的需要定义仪器的功能。在虚拟电压表的设计中,考虑到仪器主要用于教学和实验,使用对象是学生,因此将引言中提到的三种检波方式的仪器合为一体,既简化了面板操作,又便于直接对比。 该电压表主要用于电路分析和模拟电子技术等实验课的教学和测量仪器,能够使学习者了解和掌握电压的测量和电压表对各种波形的不同响应。因此,虚拟电压表应具备电源开关控制、波形选择,以及显示峰值、有效值和平均值三种结果,且输入信号的大小可调节等功能。虚拟电压表由硬件设备与接口、设备驱动软件和虚拟仪器面板组成。其中,硬件设备与接口包括仪器接口设备和计算机,设备驱动软件是直接控制各种硬件接口的驱动程序,虚拟仪器通过底层设备驱动软件与真实的仪器系统进行通信,并以虚拟仪器面板的形式在计算机屏幕上显示与真实仪器面板操作相对应的各种控件。在此,用软件虚拟了一个信号发生器。该信号发生器可产生正弦波、方波和三角波,还可以输入公式,产生任意波形。根据需要,可调节面板上的控件来改变信号的频率和幅度等可调参数,然后检测电压表的运行情况。因此,在LabVIEW图形语言环境下设计的虚拟电压表主要分为两个部分:第一部分是虚拟电压表前面板的设计;第二部分是虚拟电压表流程图的设汁。

实验七 直流数字电压表设计

学生姓名:学号:班级:时间: 课程名称:单片机原理及应用总学时:48 教师成绩: 实验名称:实验七——直流数字电压表设计 实验目的:掌握LED动态显示和A/D转换接口设计方法。 实验内容: 根据如下电路原理图,编程实现查询法A/D转换和转换结果的十进制动态显示功能。 编程原理: LED显示器和ADC0808均采用通用IO口方式与单片机接口。 LED动态显示编程原理:将待显示数据拆解为3位十进制数,并分时地将其在相应LED位上进行显示。1次完整的输出过程为:最低位位码清零→最低位数据送P0口→最低位位码置1→软件延时→中间位位码清零→中间位数据送P0口→中间位位码置1→软件延时→最高位位码清零→最高位数据送P0口→最高位位码置1→软件延时。如此无限循环可实现动态显示。 ADC0808编程原理:被测模拟量由0#通道输入(ADDA,ADDB,ADDC均接地可选通0通道);转换启动信号(START和ALE)可由软件方式产生P2.5正脉冲;转换结束信号(EOC)可通过查询P2.6的电平变化获得;输出使能信号(OE)可由软件方式产生P2.7正脉冲。 实验要求:

1、虚拟时钟信号发生器用法可参阅P262阅读材料,C51程序编写可参考以下程序模板; ———————————————— #include ______________ //定义ADC启动位变量,_st ______________ //定义ADC结束位变量,_eoc ______________ //定义ADC锁存位变量,_oe ______________ //定义数码管最低位位变量,led0 ______________ //定义数码管第二位位变量,led1 ______________ //定义数码管第三位位变量,led2 ______________ //定义AD转换结果存放变量,ad_result ______________ //定义显示字模数组并赋初值,table //0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f void delay(unsigned int time){ //延时函数 unsigned int j = 0; for(;time>0;time--) for(j=0;j<125;j++); } void disp(void){ //动态显示函数 ___________________ // led0清0 P0= _______________ //输出最低位数据的字模 ___________________ //延时10ms ___________________ // led0置1 ___________________ // led1清0 P0= _______________ //输出中间位数据的字模 ___________________ //延时10ms ___________________ // led1置1 ___________________ // led2清0 P0= _______________ //输出最高位数据的字模 ___________________ //延时10ms ___________________ // led2置1 } void main(void){ while(1){ ___________ //模仿_st正脉冲(低_高_低) ___________ ___________ ___________ //查询_eoc,若_eoc =0,原地循环 ___________ //若_eoc =1,_oe置1 ___________ //读取AD转换结果 ___________ //_oe清0 ___________ //动态显示函数调用 } } 2、提交实验报告:包括电路原理图,虚拟时钟信号发生器设置、C51源程序,运行效果图、讨论软件延时长短对动态显示效果的影响、实验小结。

简易数字直流电压表的设计

电子制作课程考核报告 课程名称简易数字直流电压表的设计 学生姓名贾晋学号1313014041 所在院(系)物理与电信工程 专业班级电子信息工程1302 指导教师秦伟 完成地点 PC PROTEUS 2015年 6 月 13 日

简易数字直流电压表的设计 简易数字直流电压表的设计 摘要本文介绍一种基于AT89C51单片机的简易数字电压表的设计。该设计主要由三个模块组成:A/D转换模块,数据处理模块及显示模块。A/D转换芯片为ADC0808,它主要负责把采集到的模拟量转换为数字量再传送到数据处理模块。数据处理则是由芯片AT89C51来完成,主要负责把ADC0808传送来的数字量经过一定的数据处理,产生相应的显示码送到显示模块进行显示;并且,它还控制着ADC0808芯片工作。 该系统的数字电压表电路简单,所用的元件较少,成本低,且测量精度和可靠性较高。此数字电压表可以测量0-200V的模拟直流输入电压值,并通过数码管显示。 关键词单片机;数字电压表;AT89C51;ADC0808

目录 1 引言............................................................................................... 2 总体设计方案............................................................................... 2.1设计要求 ............................................................................... 2.2 设计思路 .............................................................................. 2.3 设计方案 .............................................................................. 3 详细设计....................................................................................... 3.1 A/D转换模块 .................................................................... 3.2 单片机系统 ........................................................................ 3.3 时钟电路 ............................................................................ 3.4 LED显示系统设计 ........................................................... 3.5 总体电路设计 .................................................................... 4 程序设计....................................................................................... 4.1 程序设计总方案 ................................................................ 4.2 系统子程序设计 ................................................................ 5 仿真............................................................................................. 5.1 软件调试 (11) 5.2 显示结果及误差分析 ........................................................ 结论................................................................................................. 参考文献........................................................................................... 附录...................................................................................................

积分式直流数字电压表

积分式直流数字电压表 摘要:51系列单片机具有两个以上16通道定时器(TIME0和TIME1),每个通道可选择为输入捕获、输出捕获和PWM方式来测量脉宽,8路8位A/D转换器。当需大于8位的A/D转换时,可以用片内16位的定时器外接运放、比较器和多路开关实现双积分A/D转换。TL082是JFETINPUT运放;LM358作为比较器;MC4066是多路开关。51单片机P1口的P10、P11、P12作为输出,控制MC4066多路开关的输入选择;INT0作为中断输入口,捕捉LM358比较器的输出电平跳变。 关键字:双积分A/D,输出比较,输入捕捉,分辨率

一、系统方案论证与比较 为了完成上面的设计要求,将整个积分式直流数字万用表的设计分为四部分:积分、过零比较部分,控制部分,显示部分和供电部分。原理图如图1.1所示。 图G-1-1 1、单片机的选择 方案一:采用ATMEL公司生产的8位单片机AT89C51作为双积分A/D转换器的核心,此次单片机价格相对便宜,容易购买。此设计中控制功能比较多,因此需要用到的输入输出口比较多, AT89C51足可以满足控制要求,且选用此单片机不需外接扩展电路,因此节省了资源,降低了成本;并且可以达到很高的精度和实现此次设计的各种要求。 方案二:采用MOTOROLA公司生产的8位单片机MC68HC908GP32作为双积分A/D 转换器的核心,该单片机只具有两个输入输出口,虽然也能满足以上各种要求,但需要外接扩展电路,这不但在使用上增加了难度而且也增加了设计成本,浪费了资源。使电路边的比较复杂,在实际调试中也增加了难度。 鉴于以上分析,拟选择方案一。 2、积分器、过零比较器电路 方案一:该方案的系统框图如图1.2所示。运放为LM311、比较器为LM339、多路开关为MC14052。MC68HC908GP32单片机的PTD5、PTD4作为输出控制MC14052多路开关的输入选择。PTD7作为输入口,捕捉LM339比较器的输出跳变。C为积分电容,常取0.1μF左右的聚丙烯电容,R为积分电阻,可取100K左右,Vi为输入电压,-E为负的基准电压。此电路只对输入信号进行了一次信号放大,也就是只进行了一次积分。此电路,积分波形不明显,不容易在示波器上调试出来。 方案二:该方案的系统原理图如图1.3所示。C1为积分电容,常取0.22μF 左右的聚丙烯电容,R2为积分电阻,可取500k左右,U2A为积分运放,U2A、C1、R2构成了积分器,U2B是过零检测运放。VIN为输入电压,VREF为基准电压,AGND 为转换器的参考零点。VREF和参考零点以R9、R10、R11分压产生。TL082是JFETINPUT运放;LM358作为比较器;MC4066是多路开关。此电路有自己单独的基准电压,并且它的基准电压根据测量的不同范围的电压,可以进行调节,因此更

基于单片机的数字电压表设计方案

输入电路 A/D 转换 89S52单片机 LCD 显示 通讯模块 基于单片机的数字电压表设计方案 摘要:本文介绍一种基于89S52单片机的一种电压测量电路,该电路采用ICL7135高精度、双积分A/D 转换电路,测量范围直流0-±2000伏,使用LCD 液晶模块显示,可以与PC 机进行串行通信。正文着重给出了软硬件系统的各部分电路,介绍了双积分电路的原理,89S52的特点,ICL7135的功能和应用,LCD1601的功能和应用。该电路设计新颖、功能强大、可扩展性强。 关键词:电压测量,ICL7135,双积分A/D 转换器,1601液晶模块 1前言 数字电压表(Digital Voltmeter )简称DVM ,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC 进行实时通信。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM 扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。本章重点介绍单片A/D 转换器以及由它们构成的基于单片机的数字电压表的工作原理。 2 系统原理及基本框图 如图2.1所示,模拟电压经过档位 切换到不同的分压电路衰减后,经隔离 干扰送到A/D 转换器进行A/D 转换,然 后送到单片机中进行数据处理。处理后 的数据送到LCD 中显示,同时通过串行 通讯与上位机通信。

数字电压表设计

《单片机课程设计》设计报告 设计题目: 姓名: 设计时间:2010-12-28 备注:

目录 1.引言 (2) 2.概述··2 2.1实验要求 (2) 2.2实验目的 (2) 2.3 实验器材 (2) 3.总体设计方案 (3) 3.1系统的总体结构 (3) 3.2芯片的选择 (4) 3.3 ADC0809 的主要性能指标 (4) 4.硬件电路设计 (6) 4.1 AT89S52 单片机最小系统 (6) 4.2 ADC0809 与AT89S52 单片机接口电路设计 (6) 4.3显示电路与AT89S52 单片机接口电路设计 (6) 5.软件设计 (7) 5.1 主程序图 (7) 5.2 ADC0809 电压采集程序框图 (8) 5.3显示程序框图 (9) 6.调试与测量结果分析 (10) 6.1实验系统连线图 (11) 6.2程序调试 (12) 6.3 仿真结果 (13) 6.4 实验结果分析 (14) 7.程序清单和系统原理图 (15) 7.1程序清单 (15) 7.2 系统原理图 (16) 8.实验总结和实验收获 (17)

1.引言 本次课程设计要求完成是数字电压表的设计,随着电子科学技术的发展,电子测量成为广大电子工作者必须掌握的手段,对测量的精度和功能的要求也越来越高,而电压的测量甚为突出,因为电压的测量 最为普遍。本次课程设计我们小组xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxx该电路设计新颖、功能强大、可 扩展性强。 实验报告首先简要介绍了设计数字电压表的实验要求和目的;根据要求和目的设计出直流数字电压表的系统结构流程,以及硬件系统和软件系统的设计,并给出了硬件电路的设计细节,以及调试和仿真结果。最后进行了实验和心得体会的总结。 通过完成一个包括电路设计和程序开发的完整过程,使自身了解开发单片机应用系统的全过程,强化巩固所学知识,为以后的学习和工作打下基础。 2.概述 2.1实验要求 采用ADC0809 和AT89S52 单片机及显示电路完成0~5V 直流电压的检测 2.2实验目的 (1)进一步熟悉和掌握单片机的结构和工作原理; (2)掌握单片机的借口技术及,ADC0809芯片的特性,控制方法;(3)通过这次实训设计,掌握以单片机为核心的电路设计的基本

相关文档
相关文档 最新文档