文档库 最新最全的文档下载
当前位置:文档库 › irda红外收发器应用

irda红外收发器应用

irda红外收发器应用
irda红外收发器应用

《FPGA原理及应用》

课程设计

一、课程设计目的

本文设计实现一个基于FPGA的红外遥控解码和实现FPGA与PC机的串口通信。

二、系统总体框架

本系统综合FPGA和PC平台,总体功能框架如图2-1所示:

图2-1:系统功能组成图

系统主要包括四大模块:每个模块对应的作用分别如下:

1)红外遥控器解码模块:

主要是通过红外接收头接收红外遥控器发送的红外波形,通过FPGA对其进行解调和解码,得到遥控器每个按键对应的命令码。

2)FPGA串口发送模块:

FPGA解码获得遥控器每个按键对应的命令码后,需要将其发送到PC机上,以控制PC机上的多媒体播放器。

3)PC串口接收模块:

PC端需要控制串口,以获取串口传入的数据,也即是遥控器对应的命令码。得到该码后,需要将其映射到PC机系统的按键事件,以控制PC机上的软件(这里是用XBMC多媒体播放器来演示)。

4)多媒体演示模块:

采用现有的XBMC多媒体中心来实现。XBMC是一个跨平台的媒体娱乐中心软件(https://www.wendangku.net/doc/ab3558608.html,/download/),它可以播放音视频、浏览图片、查看天气、管理存储器上的媒体资源文件、系统设置、支持游戏手柄等功能下面对每一个模块的设计细节进行详细的介绍。

三、关键模块设计细节

3.1红外遥控器解码模块

本模块的功能主要是通过红外接收头接收红外遥控器发送的红外波形,通过FPGA对其进行解调和解码,得到遥控器每个按键对应的命令码。

1)红外遥控基本知识

通用红外遥控系统由发射和接收两大部分组成。应用编/解码专用集成电路芯片来进行控制操作,如图3-1所示。发射部分包括键盘矩阵、编码调节(增强发射效率)、LED红外发送器;接收部分包括光、电转换放大器、解调、解码电路。

图3-1:通用红外遥控系统

遥控发射器专用芯片很多,也存在很多编码协议。NanoBoard2的遥控器采用的是广泛的NEC协议,这里简述下该协议。

该协议采用脉宽调制的串行码,以脉宽为0.565ms、间隔0.56ms、周期为1.125ms的组合表示二进制的“0”;以脉宽为0.565ms、间隔1.685ms、周期为2.25ms 的组合表示二进制的“1”,其波形如图3-2所示:

图3-2:0与1的波形

当我们按下遥控器的按键时,遥控器将发出一串二进制代码,我们称它为一帧数据。可将它们分为5 部分,分别为引导码、用户码、用户反码、数据码和数据反码,共32bit。如图3-3所示:

图3-3:一帧红外数据

遥控器发射代码时,均是低位在前。高位在后。其中引导码高电平为9ms,低电平为4.5ms,当接收到此码时,表示一帧数据的开始。解码的关键是如何识别"0"和"1",从位的定义我们可以发现"0"和"1"均以0.565ms的低电平开始,不同的是高电平的宽度不同,"0"为0.56ms,"1"为1.68ms,所以必须根据高电平的宽度区别"0"和"1"。

2)本系统遥控解码

NanoBoard2的遥控器采用的是广泛的NEC协议。在NanoBoard2的外设板PB03提供了红外收发器(infrared transceiver)。在Altium Designer的库中也提供了该红外收发器的编程控制接口(FPGA PB03 Port-Plugin.IntLib中)。如图3-4所示。

图3-4:NB2板上红外资源

但该收发器采用的是Vishay半导体公司的 TFDU6102收发芯片,其中并不像

一般的红外接收模块一样,这款芯片不提供红外解调功能。所以我们通过Altium Designer提供的Wishbone的红外解调解码IP核来实现解调和解码功能。该IP 核在Altium Designer的FPGA Peripherals (Wishbone).IntLib中,其引脚如图3-5所示:

图3-5:WB_IRDEC引脚图

各引脚的功能简述如下:

左边的部分是红外的控制信号。右边的部分是遵守Wishbone规范的从设备接口,具体说明如下:

CLK_I:外部输入时钟信号。

RST_I:同步复位信号,高电平有效。

DAT_O()/DAT_I():主设备和从设备的之间的数据信号,数据可以由主设备传送给从设备,也可以由从设备传送给主设备。一对主设备和从设备之间最多存在两条数据总线,一条用于主设备向从设备传输数据,另外一条用于从设备向主设备传输数据。

ADR_I[3:0]:地址信号,主设备输出地址到从设备。

ACK_O:主从设备间的操作结束方式信号。ACK为高表示成功。操作总是在某一总线周期内完成的,因此操作结束方式也称为总线周期结束方式。

CYC_I:总线周期信号CYC_I有效代表一个主设备请求总线使用权或者正在占有总线,但是不一定正在进行总线操作(是否正在进行总线操作取决于选通信号STB_I是否有效)。只有该信号有效,Wishbone主设备和从设备接口的其它信号才有意义。

STB_I:选通信号。选通有效代表主设备发起一次总线操作。

WE_I:写使能信号,代表当前周期中进行的操作是写操作还是读操作。1代表写,0代表读。

这样我们就可以通过FPGA去控制WB_IRDEC来获得解码后的32bit遥控码。WB_IRDEC提供了四个寄存器:Clock Divider Register (CLK_DIV)、Control Register (CTRL)、Status Register (STATUS)和Data Register (DATA)。我们需要读写这四个寄存器来配置WB_IRDEC和读取红外码。

WB_IRDEC采用的是标准的Wishbone数据传输握手协议。我们将该协议总结如下:

a)单次读操作:

在时钟上升沿i,主设备将地址信号ADR_O()放到总线上,将WE_O置为低表示读操作,将CYC_O置高表示操作正在进行,将STB_O置高表示操作开始。

在时钟上升沿i+1到达之前,从设备检测到主设备发起的操作,将适当的数据放到主设备的输入信号DAT_I(),将主设备的ACK_I置高作为对主设备STB_O 的响应。

在时钟上升沿i+1,主设备发现ACK_I信号为高,将DAT_I()采样,并将STB_O 和CYC_O置为低表示操作完成。从设备发现STB_O置低后,也将主设备的输入信号ACK_I置低。

b)单次写操作:

在时钟上升沿i,主设备将地址信号ADR_O()放到总线上,将数据信号DAT_O()放到总线上,将WE_O置高表示写操作,将CYC_O置高表示操作正在进行,将STB_O 置高表示操作开始。

在时钟上升沿i+1到达之前,从设备检测到主设备发起的操作,将主设备的ACK_I置高作为对主设备STB_O的响应。

在时钟上升沿i+1,从设备将DAT_I()采样;主设备发现ACK_I信号为高,将STB_O和CYC_O置为低表示操作完成;从设备发现STB_O置低后,也将主设备的ACK_I置低。

具体的寄存器配置和读写参考altium提供的wiki:WB_IRRC - Accessible

Internal Registers。另外,该说明文档里面时钟分频寄存器Clock Divider Register (CLK_DIV)的设置值的公式存在错误。因为该寄存器的值提供解调红外波形的载波频率。所以这个值的设置必须准确,否则无法解调。所以这个错误使得调试过程中耗费了很长时间。后来通过对原理的理解,自己推导到了正确的公式。该IP核采用的时钟分频是通过24bit相位累加器来实现的。它在wiki上提供的确定分频该寄存器的值的公式是:

CLK_DIV = (fcarrier * 8000000h) / fCLK_I

这里的8000000h应该是1000000h(24bit也就是224=1000000h)才正确。

我们设计的原理图如图3-6所示:

图3-6:红外解码模块原理图

我们的FPGA控制模块在IrDA.v文件中实现。我们采用的是verilog硬件描述语言。具体的verilog代码见附录。

3.2FPGA串口发送模块

1)串口基础知识

串口通信是指使用一条数据线(另外需要地线,可能还需要控制线),将数据一位一位地依次传输,每一位数据占据一个固定的时间长度。其只需要少数几条线就可以在系统间交换信息,特别使用于计算机与计算机、计算机与外设之间的远距离通信。使用串口通信时,发送和接收到的每一个字符实际上都是一次一位的传送的,每一位为1或者为0。如图3-7所示。

图3-7:串行通信原理

串行异步通信即RS232通信,是主机与外部硬件设备的常用通讯方式。可以双向传输。其数据格式如图3-8所示。

图3-8:异步通信的数据格式

2)本系统串行通信发送模块设计

NanoBoard2的主板提供了RS232的串行接口。在Altium Designer的库中也提供了该接口的编程控制接口(FPGA NB2DSK01 Port-Plugin.IntLib中)。如图3-9所示:

图3-9:板上RS232的串行接口

在verilog代码中,我们需要根据我们选择的串口的波特率来对输入时钟进行分频得到串行通信的每一个数据位所需要的时间。然后按照图3-8所示的串行通信的数据格式将8bit的数据发送出去。闲时我们将串口发射的信号线

UART_TX_O一直保持在高。当我们需要发送数据时,将其拉低并保持一个数据位的时间,再将8bit的数据从低位到高位一位一位的通过发送出去。然后再发送一

个停止位。这里我们不添加校验位。这时候一个8bit的数据就成功通过串口发送出去了。

我们设计的原理图如图3-10所示:

图3-10:串口发送模块原理图

我们的FPGA控制模块在UART_TX.v文件中实现。然后在IrDA.v文件中实例化。这样就把串口发送模块嵌入到红外解码模块中。具体的verilog代码见附录。

3.3PC串口接收和键盘映射模块

PC端需要控制串口,以获取串口传入的数据,也即是遥控器对应的命令码。得到该码后,需要将其映射到PC机系统的按键事件,以控制PC机上的软件(这里是用XBMC多媒体播放器来演示)。

1)串口接收

在Windows系统中,串口和其它通信设备是作为文件处理的。串口的打开、关闭、读取和写入所用的函数与操作文件的函数完全一致。我们通过CreateFile()函数“打开”串口。

函数创建或打开以下对象并返回一个句柄,可以用来访问对象:文件、管道、mailslots、通信资源、磁盘设备(Windows NT只有)、consoles等。

HANDLE CreateFile(

LPCTSTR lpFileName, //指向文件名的指针

DWORD dwDesiredAccess, //访问模式(写/读)

DWORD dwShareMode, //共享模式

LPSECURITY_ATTRIBUTES lpSecurityAttributes, //指向安全属性的指针DWORD dwCreationDisposition, //如何创建

DWORD dwFlagsAndAttributes, //文件属性

HANDLE hTemplateFile //用于复制文件句柄

);

打开串口之后,我们再通过ReadFile()函数从文件指针指向的位置开始将数据读出到来。

BOOL ReadFile(

HANDLE hFile, //文件的句柄

LPVOID lpBuffer, //用于保存读入数据的一个缓冲区

DWORD nNumberOfBytesToRead, //要读入的字节数

LPDWORD lpNumberOfBytesRead, //指向实际读取字节数的指针LPOVERLAPPED lpOverlapped //该结构定义了一次异步读取操作

);

2)键盘映射模块

通过串口获得每个遥控按键对应的8bit,也就是一个字节的码后。我们需要将其与遥控器具体的按键功能对应。例如Altium的遥控器的“向左”这个按键的红外指令码是0x47。当我们接收到这个码后,我们就映射到PC机键盘上面的“向左”的键盘值。

我们用Windows提供了Keybd_event这个函数来模拟键盘。通过调用它来产生“向左”这个按键的键值。这个键值会发送到系统上,然后当前的活动程序将会捕捉到这个按键,然后达到控制软件的目的。

Keybd_event能触发一个按键事件,Keybd_event共有四个参数,第一个为按键的虚拟键值,如回车键为vk_return。第二个参数为扫描码,一般不用设置,用0代替就行。第三个参数为选项标志,如果为keydown则置0即可,如果为keyup 则设成“KEYEVENTF_KEYUP”,第四个参数一般也是置0即可。下面两行代码就实

现了模拟键盘“向左”的按键按下然后放开的效果:

keybd_event(VK_LEFT, 0, 0, 0); // key down

keybd_event(VK_LEFT, 0, KEYEVENTF_KEYUP,0); // key up

具体源码见附录。

3.4多媒体演示模块

该模块的作用是演示我们对遥控器解码的有效性。采用现有的XBMC多媒体中心来实现。XBMC是一个跨平台的媒体娱乐中心软件,它可以播放音视频、浏览图片、查看天气、管理存储器上的媒体资源文件、系统设置、支持游戏手柄等功能。其效果图见图3-11。

图3-11:XBMC多媒体软件

四、主要代码

4.1FPGA端

IrDA_v.v

1.//===========================================================================

===

2.// File Name : IrDA_v.v

3.// Module Name : This is IrDA control module

4.// Description : Receive the IrDA code, then decode it and transmit the code

to PC though COM

5.// Author : Zou Xiaoyi

6.// HomePage : https://www.wendangku.net/doc/ab3558608.html,/zouxy09

7.// Date : 2013/06/08

8.// Rev. : 0.1

9.//===========================================================================

===

10.

11.module IrDA_WB(CLK_I, ACK_I, INT_I, DATA_I, reset, CYC_STB_O, WE_O, ADR_O, DA

TA_O, UART_TX_O, Ir_Commad);

12. input CLK_I;

13. input ACK_I;

14. input INT_I;

15. input [31:0] DATA_I;

16. input reset;

17.

18. output CYC_STB_O;

19. output WE_O;

20. output [3:0] ADR_O;

21. output [31:0] DATA_O;

22. output UART_TX_O;

23. output [7:0] Ir_Commad;

24.

25. reg ACK_I;

26. reg INT_I;

27. reg [31:0] DATA_I;

28.

29. reg CYC_STB_O;

30. reg WE_O;

31. reg [3:0] ADR_O;

32. reg [31:0] DATA_O;

33. reg [7:0] Ir_Commad;

34. reg [7:0] data;

35.

36. reg [31:0] count;

37. reg [31:0] count2;

38. reg flag;

39.

40. // The whole process of IrDA decoder

41. always @(posedge CLK_I)

42. begin

43. // count posedge of clk

44. if (reset) // initialize

45. begin

46. count = 32'd0;

47. count2 = 32'd0;

48. flag = 1'b0;

49. CYC_STB_O = 1'b0;

50. START = 1'b0;

51. end

52.

53. // 1) initialize

54. // https://www.wendangku.net/doc/ab3558608.html,/display/ADOH/WB_IRRC+-+Accessible+Inter

nal+Registers

55. case (count)

56. 32'd0:

57. begin

58. Ir_Commad = 8'b0000_1111; // initialize state

59. count = 32'd1;

60. end

61.

62. 32'd1:

63. begin

64. // write Clock Divider Register (CLK_DIV) Register

65. ADR_O = 4'h0;

66. DATA_O[31:0] = 32'h0000_7D3E; // 32'h0000_3219 for 50M

Hz 32'h0000_7D3E for 20MHz

67. WE_O = 1'b1;

68. CYC_STB_O = 1'b1;

69. count = 32'd2;

70. end

71.

72. 32'd2:

73. begin

74. if (ACK_I) // waiting for ack

75. begin

76. CYC_STB_O = 1'b0;

77. count = 32'd3;

78. end

79. else

80. count = 32'd2;

81. end

82.

83. 32'd3:

84. begin

85. // write Control Register

86. ADR_O = 4'h1;

87. DATA_O[31:0] = 32'h0000_0043; // 43

88. WE_O = 1'b1;

89. CYC_STB_O = 1'b1;

90. count = 32'd4;

91. end

92.

93. 32'd4:

94. begin

95. if (ACK_I) // waiting for ack

96. begin

97. CYC_STB_O = 1'b0;

98. count = 32'd5;

99. count2 = 32'd0;

100. end

101. else

102. count = 32'd4;

103. end

104.

105. default:

106. begin

107. // make initialize strage run once

108. count = 32'd10;

109.

110. // 2) if it has valid data, get it!

111. if (INT_I) // haveData

112. begin

113. // 2.1) we need to read it from data register 114. case (count2)

115. 32'd0:

116. begin

117. // read Data Register

118. ADR_O = 4'h3;

119. WE_O = 1'b0;

120. CYC_STB_O = 1'b1;

121. count2 = 32'd1;

122. end

123.

124. 32'd1:

125. begin

126. if (ACK_I) // waiting for ack

127. begin

128. flag = 1'b1;

129. data = DATA_I[23:16];

130. CYC_STB_O = 1'b0;

131. count2 = 32'd2;

132. //START = 1'b1; // start to tr ansmit though COM

133. end

134. else

135. count2 = 32'd1;

136. end

137.

138. 32'd2:

139. begin

140. // 2.2) write Control Register to clear bit of data flag

141. ADR_O = 4'h1;

142. DATA_O[31:0] = 32'h0000_0043; //43 143. WE_O = 1'b1;

144. CYC_STB_O = 1'b1;

145. count2 = 32'd3;

146. end

147.

148. 32'd3:

149. begin

150. if (ACK_I) // waiting for ack

151. begin

152. CYC_STB_O = 1'b0;

153. count2 = 32'd0;

154. START = 1'b1; // start to trans mit though COM

155. end

156. else

157. count2 = 32'd3;

158. end

159.

160. default:

161. begin

162. count2 = 32'd0;

163. end

164.

165. endcase

166. end

167. else

168. begin

169. START = 1'b0; // no data to transmit

170. if (flag)

171. Ir_Commad = data; //data;

172. else

173. Ir_Commad = 8'b1111_0000; // No data 174. end

175. end

176. endcase

177. end

178.

179.

180. // The whole process of COM transmit module

181. wire RST_B;

182. reg START;

183. wire TX_BUSY;

184.

185. assign RST_B = ~reset;

186.

187.

188. //Instance DUT

189. UART_TX I_UART_TX

190. (

191. .SYSCLK (CLK_I ),

192. .RST_B (RST_B ),

193.

194. .START (START ),

195. .UART_TX_DATA (data ),

196. .UART_TX_O (UART_TX_O ),

197. .TX_BUSY (TX_BUSY )

198. );

199.

200.endmodule

UART_TX.v

1.//===========================================================================

===

2.// File Name : UART_CTL.v

3.// Module Name : This is UART control module

4.// Description :

5.// Author : Zou Xiaoyi

6.// HomePage : https://www.wendangku.net/doc/ab3558608.html,/zouxy09

7.// Date : 2013/06/08

8.// Rev. : 0.1

9.//===========================================================================

===

10.

11.`define UD #1

12.

13.module UART_TX

14. (

15. SYSCLK,

16. RST_B,

17.

18. START,

19. UART_TX_DATA,

20. UART_TX_O,

21.

22. TX_BUSY

23. );

24.//===========================================================================

===

25.// Input and output deceleration

26.//===========================================================================

===

27.input SYSCLK; //系统时钟50MHz

28.input RST_B; //全局复位信号

29.

30.input START; //由主控层发来的启动发送的脉冲

31.input [7:0] UART_TX_DATA; //主控层传来的需要发送的数据

32.output UART_TX_O; //串口的串行输出数据线,TX

33.

34.output TX_BUSY; //控制层返回给主控层的"忙信号",1 表示正在发送中

35.

36.//===========================================================================

===

37.// Wire and reg deceleration

38.//===========================================================================

===

39.wire SYSCLK;

40.wire RST_B;

41.

42.wire START;

43.wire [7:0] UART_TX_DATA;

44.reg UART_TX_O;

45.

46.reg TX_BUSY;

47.

48.//===========================================================================

===

49.// Wire and reg in the module

50.//===========================================================================

===

51.reg [11:0] TIME_CNT; //系统时钟计数器,根据波特率计算每一位的时间

52.reg [11:0] TIME_CNT_N; //TIME_CNT 的下一个状态

53.

54.reg [3:0] BIT_CNT; //位计数器,在状态机中用来控制每个状态停留的时间

55.reg [3:0] BIT_CNT_N; //BIT_CNT 的下一个状态

56.

57.reg [9:0] SHIFT_DATA; //输出移位寄存器,加上起始、停止位共10位

58.reg [9:0] SHIFT_DATA_N; //SHIFT_DATA 的下一个状态

59.

60.reg [2:0] UART_TX_CS; //发送状态机的当前状态

61.reg [2:0] UART_TX_NS; //发送状态机的下一下状态

62.

63.reg UART_TX_O_N; //UART_TX_O 的下一个状态

64.

65.reg TX_BUSY_N; //TX_BUSY 的下一个状态

66.reg [1:0] START_REG; //记录发送脉冲的边沿变化

67.

68.//---------------------------------------------------------------------------

---

69.

70.parameter IDLE = 3'h0; //状态机空闲状态

71.parameter SEND_START = 3'h1; //状态机发送开始码的状态

72.parameter SEND_DATA = 3'h2; //状态机发送8位数据的状态

73.parameter SEND_STOP = 3'h3; //状态机发送停止位的状态

74.parameter FINISH = 3'h4; //状态机的结束状态

75.

76.//---------------------------------------------------------------------------

---

77.always @ (posedge SYSCLK or negedge RST_B)

78. begin

79. if(!RST_B)

80. START_REG <= `UD 2'h0;

81. else

82. START_REG <= `UD {START_REG[0], START};

83. end

84.

85.//---------------------------------------------------------------------------

---

86.always @ (posedge SYSCLK or negedge RST_B)

87. begin

88. if(!RST_B)

89. TX_BUSY <= `UD 1'h0;//0 -> IDLE ,1->BUSY

90. else

91. TX_BUSY <= `UD TX_BUSY_N;

92. end

93.

94.//BUSY 信号为忙时,是状态机不为 IDLE 的所有状态

95.always @ (*)

96. begin

97. if(UART_TX_CS == IDLE)

98. TX_BUSY_N = 1'h0;

99. else

100. TX_BUSY_N = 1'h1;

101. end

102.

103.//------------------------------------------------------------------------------

104.

105.always @ (posedge SYSCLK or negedge RST_B)

106. begin

107. if(!RST_B)

108. TIME_CNT <= `UD 12'h0;

109. else

110. TIME_CNT <= `UD TIME_CNT_N;

111. end

112.

113.

114.//parameter COUNT = 9'h1B2; // for 50MHz and 115200

115.//parameter COUNT = 9'h1b2; // for 50MHz and 9600

116.//parameter COUNT = 9'hAE; // for 20MHz and 115200

117.parameter COUNT = 12'h820; // for 20MHz and 9600

118.

119.// 波特率率为115200 ,每一位的周期是8.68us, 计数值为,9'h1b2

120.// 这里计数范围为 0 ~ 9'h1b1

121.always @ (*)

122. begin

123. if(TIME_CNT == COUNT)

124. TIME_CNT_N = 12'h0;

125. //不为IDLE时才会发数据,也才需要计数器计数

126. else if(UART_TX_CS != IDLE)

127. TIME_CNT_N = TIME_CNT + 12'h1;

128. else

129. TIME_CNT_N = TIME_CNT;

130. end

131.//------------------------------------------------------------------------------

133. begin

134. if(!RST_B)

135. SHIFT_DATA <= `UD 10'h0;

136. else

137. SHIFT_DATA <= `UD SHIFT_DATA_N;

138. end

139.

140.always @ (*)

141. begin

142. //在发数据状态的第一时刻把要发送的10位数据先加载进来。

143. if((TIME_CNT == 12'h0) && (UART_TX_CS == SEND_START))

144. SHIFT_DATA_N = {1'b1,UART_TX_DATA[7:0],1'b0};

145. //TIME_CNT 每一次为0时,就需要移出一位数据到TX线上

146. else if(TIME_CNT == 12'h0)

147. SHIFT_DATA_N = {1'b1,SHIFT_DATA[9:1]};

148. else

149. SHIFT_DATA_N = SHIFT_DATA;

150. end

151.

152.//------------------------------------------------------------------------------

153.always @ (posedge SYSCLK or negedge RST_B)

154. begin

155. if(!RST_B)

156. BIT_CNT <= `UD 4'h0;

157. else

158. BIT_CNT <= `UD BIT_CNT_N;

159. end

160.

161.always @ (*)

162. begin

163. //每次状态机状态发生变化时,计数器重新清0,为下一次从0开始计数做准备

164. if(UART_TX_CS != UART_TX_NS)

165. BIT_CNT_N = 4'h0;

166. //BIT_CNT 是对TIME_CNT的计数周期进行计数

167. else if(TIME_CNT == COUNT)

168. BIT_CNT_N = BIT_CNT + 4'h1;

169. else

170. BIT_CNT_N = BIT_CNT;

171. end

172.

173.//------------------------------------------------------------------------------

175. begin

176. if(!RST_B)

177. UART_TX_O = 1'b1;

178. else

179. UART_TX_O = UART_TX_O_N;

180. end

181.

182.//TX信号在发送过程中始终等于移位寄存器的0位,TX是低位先发,其它状态时保持高电平183.always @ (*)

184. begin

185. if((UART_TX_CS == IDLE) || (UART_TX_CS == FINISH))

186. UART_TX_O_N = 1'b1;

187. else

188. UART_TX_O_N = SHIFT_DATA[0];

189. end

190.

191.//------------------------------------------------------------------------------

192.// 发送流程控制的核心状态机

193.always @ (posedge SYSCLK or negedge RST_B)

194.begin

195. if(!RST_B)

196. UART_TX_CS <= `UD IDLE;

197. else

198. UART_TX_CS <= `UD UART_TX_NS;

199.end

200.

201.always @ (*)

202.begin

203. case(UART_TX_CS)

204.

205. IDLE :

206. if(START_REG)

207. UART_TX_NS = SEND_START;

208. else

209. UART_TX_NS = UART_TX_CS;

210.

211. SEND_START :

212. //发送状态必须保持完整的计数周期,每一位的时间严格保证

213. if((BIT_CNT == 4'h0) && (TIME_CNT == COUNT))

214. UART_TX_NS = SEND_DATA;

215. else

216. UART_TX_NS = UART_TX_CS;

红外热成像技术应用与发展

红外热成像摄象机在智能视频监控中的应用与发展 一、引言 1672年,牛顿使用分光棱镜把太阳光(白光)分解为红、橙、黄、绿、青、蓝、紫等各色单色光,证实了太阳光(白光)是由各种颜色的光复合而成。1800年,英国物理学家 F. W. 赫胥尔从热的观点来研究各种色光时,偶然发现放在光带红光外的一支温度计,比其他色光温度的指示数值高。经过反复试验,这个所谓热量最多的高温区,总是位于光带最边缘处红光的外面。于是他宣布:太阳发出的辐射中除可见光线外,还有一种人眼看不见的“热线”,这种看不见的“热线”位于红色光外侧,叫做红外线。这种红外线,又称红外辐射,是指波长为0.78~1000μm的电磁波。其中波长为0.78 ~1.5μm 的部分称为近红外,波长为1.5 ~10μm的部分称为中红外,波长为10~1000μm的部分称为远红外线。而波长为2.0 ~1000μm的部分,也称为热红外线。 红外线辐射是自然界存在的一种最为广泛的电磁波辐射,它在电磁波连续频谱中的位置是处于无线电波与可见光之间的区域。这种红外线辐射是,基于任何物体在常规环境下都会产生自身的分子和原子无规则的运动,并不停地辐射出热红外能量。分子和原子的运动愈剧烈,辐射的能量愈大;反之,辐射的能量愈小。 在自然界中,一切物体都会辐射红外线,因此利用探测器测定目标本身和背景之间的红外线差,可以得到不同的红外图像,称为热图像。同一目标的热图像和可见光图像不同,它不是人眼所能看到的可见光图像,而是目标表面温度分布的图像。或者可以说,它是人眼不能直接看到目标的表面温度分布,而是变成人眼可以看到的代表目标表面温度分布的热图像。运用这一方法,便能实现对目标进行远距离热状态图像成像和测温,并可进行智能分析判断。 众所周知,海湾战争已成为展示高科技武器使用先进技术的平台。在这些新科技中,红外热成像技术就是其中最为闪亮的高科技技术之一。红外热成像技术(Infrared thermal imaging technology)是利用各种探测器来接收物体发出的红外辐射,再进行光电信息处理,最后以数字、信号、图像等方式显示出来,并加以利用的探知、观察和研究各种物体的一门综合性技术。它涉及光学系统设计、器件物理、材料制备、微机械加工、信号处理与显示、封装与组装等一系列专门技术。该技术除主要应用在黑夜或浓厚幕云雾中探测对方的目标,探测伪装

红外线遥控器应用技术论文

红外线遥控器应用技术论文 红外线遥控器常用于电视机、空调、VCD、DVD、音响、门锁等的控制,下面是由 ___的红外线遥控器应用技术,谢谢你的阅读。 红外线遥控器检测仪的电路设计与制作 摘要:以往检测遥控器的好坏,常用收音机测听,但是收音机只能收到遥控信号的高次谐波,信号微弱,检测效果不理想。该文中设计制作的遥控检测仪是专门用来检测红外线遥控器和其他红外线的产品,只要判断是否发射了红外遥控信号,使用220V AC供电,声光被检测的遥控器的好坏一目了然,是一种简单实用的红外遥控器检测仪。 关键词:遥控器放大检测电路设计 :TP2 :A :1007-3973(xx)003-092-02 1、引言 红外线遥控器常用于电视机、空调、VCD、DVD、音响、汽车门锁等的控制,人们通常在判别故障时很难确定是机器本身的问题,还是遥控器的问题,借助于遥控器检测仪便可简捷直接作出判断。遥

控失灵是一种常见的故障,要排除故障,首先必须检测遥控器是否完好,以往检测遥控器的好坏,常用收音机测听。由于收音机只能收到遥控信号的高次谐波,信号微弱,检测效果不理想,本文提出一种简单实用的红外遥控器检测仪的设计与制作。 2、遥控器检测仪电路设计 对照原理图,简述遥控器检测仪的工作过程及各部分电路的功能。 (1)检测仪接通220V的交流电源电压后,经过变压器(T)降压,得到约6V的交流信号。 (2)经过整流桥(VD1-VD4)将6V交流信号整流为直流信号,此时直流信号含有较多纹波。 (3)整流桥输出的直流信号经过电解电容(C1)滤波、三端集成稳压器(78L05)稳压以后,输出平滑稳定的直流电压,同时电源指示灯LED1(绿色发光二极管)点亮。

红外成像技术在医学中的应用技术及应用

能力拓展训练任务书 学生姓名:青蛙哥专业班级:电子科学与技术0803班指导教师:封小钰工作单位:信息工程学院 题目:红外成像技术在医学中的应用技术及应用 初始条件: 具有扎实的电子科学与技术专业基本理论和系统的专业知识;具备初步的文献查阅和专题调研技能;一定的中英文文献阅读与综合能力。 要求完成的主要任务: 1.在电子科学与技术专业体系范围内确定选题,题目自拟。 2.查阅与选题相关的文献资料,通过对文献资料的阅读分析与综合,写出调研报告; 要求报告内容的可读性强,撰写格式规范,图标的使用正确,参考文献的引用恰当; 字数不少于6000字,参考文献不少于10篇,其中外文文献不少于2篇。 时间安排: 1.2011年7月8日分班集中,能力拓展训练任务;讲解训练具体实施计划、报告格式的要求与答疑事项。 2.2011年7月11日至2011年7月15日完成选题的确定、资料查阅、能力拓展训练报告的撰写。 3. 2011年7月16日提交能力拓展训练报告书,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1 引言...................................................... 错误!未指定书签。2红外热成像技术............................................ 错误!未指定书签。 2.1 光纤通信技术的定义.................................. 错误!未指定书签。 2.2红外热成像技术的应用原理............................. 错误!未指定书签。3红外技术在医学领域应用的历史,现状,和前景................ 错误!未指定书签。4红外技术在医学上的应用.................................... 错误!未指定书签。 4.1红外技术在医学检测上的应用........................... 错误!未指定书签。 4.1.1乳腺瘤的早期诊断............................... 错误!未指定书签。 4.1.2血管疾病的诊断................................. 错误!未指定书签。 4.1.3皮肤损伤病症的诊断............................. 错误!未指定书签。 4.2 红外技术在医疗监护上的应用.......................... 错误!未指定书签。 4.3其他................................................. 错误!未指定书签。 5 结束语.................................................... 错误!未指定书签。参考文献.................................................... 错误!未指定书签。

半导体激光器的发展及其应用

浅谈半导体激光器及其应用 摘要:近十几年来半导体激光器发展迅速,已成为世界上发展最快的一门激光技术。由于半导体激光器的一些特点,使得它目前在各个领域中应用非常广泛,受到世界各国的高度重视。本文简述了半导体激光器的概念及其工作原理和发展历史,介绍了半导体激光器的重要特征,列出了半导体激光器当前的各种应用,对半导体激光器的发展趋势进行了预测。 关键词:半导体激光器、激光媒质、载流子、单异质结、pn结。 自1962年世界上第一台半导体激光器发明问世以来,半导体激光器发生了巨大的变化,极大地推动了其他科学技术的发展,被认为是二十世纪人类最伟大的发明之一。近十几年来,半导体激光器的发展更为迅速,已成为世界上发展最快的一门激光技术。半导体激光器的应用范围覆盖了整个光电子学领域,已成为当今光电子科学的核心技术。由于半导体激光器的体积小、结构简单、输入能量低、寿命较长、易于调制以及价格较低廉等优点,使得它目前在光电子领域中应用非常广泛,已受到世界各国的高度重视。 一、半导体激光器 半导体激光器是以直接带隙半导体材料构成的Pn 结或Pin 结为工作物质的一种小型化激光器。半导体激光工作物质有几十种,目前已制成激光器的半导体材料有砷化镓、砷化铟、锑化铟、硫化镉、碲化镉、硒化铅、碲化铅、铝镓砷、铟磷砷等。半导体激光器的激励方式主要有三种,即电注入式、光泵式和高能电子束激励式。绝大多数半导体激光器的激励方式是电注入,即给Pn 结加正向电压,以使在结平面区域产生受激发射,也就是说是个正向偏置的二极管。因此半导体激光器又称为半导体激光二极管。对半导体来说,由于电子是在各能带之间进行跃迁,而不是在分立的能级之间跃迁,所以跃迁能量不是个确定值, 这使得半导体激光器的输出波长展布在一个很宽的范围上。它们所发出的波长在0.3~34μm之间。其波长范围决定于所用材料的能带间隙,最常见的是AlGaAs双异质结激光器,其输出波长为750~890nm。 半导体激光器制作技术经历了由扩散法到液相外延法(LPE), 气相外延法(VPE),分子束外延法(MBE),MOCVD 方法(金属有机化合物汽相淀积),化学束外延(CBE)以及它们的各种结合型等多种工艺。半导体激光器最大的缺点是:激光性能受温度影响大,光束的发散角较大(一般在几度到20度之间),所以在方向性、单色性和相干性等方面较差。但随着科学技术的迅速发展, 半导体激光器的研究正向纵深方向推进,半导体激光器的性能在不断地提高。以半导体激光器为核心的半导体光电子技术在21 世纪的信息社会中将取得更大的进展, 发挥更大的作用。 二、半导体激光器的工作原理 半导体激光器是一种相干辐射光源,要使它能产生激光,必须具备三个基本条件: 1、增益条件:建立起激射媒质(有源区)内载流子的反转分布,在半导体中代表电子能量的是由一系列接近于连续的能级所组成的能带,因此在半导体中要实现粒子数反转,必须在两个能带区域之间,处在高能态导带底的电子数比处在低能态价带顶的空穴数大很多,这靠给同质结或异质结加正向偏压,向有源层内注入必要的载流子来实现, 将电子从能量较低的价带激发到能量较高的导带中去。当处于粒子数反转状态的大量电子与空穴复合时,便产生受激发射作用。 2、要实际获得相干受激辐射,必须使受激辐射在光学谐振腔内得到多次反馈而形成激光振荡,激光器的谐振腔是由半导体晶体的自然解理面作为反射镜形成的,通常在不出光的那一端镀上高反多层介质膜,而出光面镀上减反膜。对F—p 腔(法布里—珀罗腔)半导体激光器可以很方便地利用晶体的与p-n结平面相垂直的自然解理面构成F-p腔。 3、为了形成稳定振荡,激光媒质必须能提供足够大的增益,以弥补谐振腔引起的光损耗及从腔

红外遥控文献综述

杭州电子科技大学 毕业设计(论文)文献综述 毕业设计(论文)题目基于单片机的红外遥控电路设计 文献综述题目基于单片机的红外遥控编码方式学院电子信息学院 专业电子科学与技术 姓名刘正国 班级07042011班 学号07042019 指导教师方志华

基于单片机的红外遥控编码方式 前言 随着科学技术的发展,单片机因其高可靠性和高性价比,在智能化家用电器、仪器仪表等诸多领域内得到了极为广泛的应用。当前单片机对家用电器控制呈现出外型简单化、功能多样化、性能优越化的发展趋向。红外遥控是目前使用较多的一种遥控手段。红外线遥控装置具有体积小、功耗低、功能强、成本低等特点。在家庭生活中,录音机、音响设备、空调彩电都采用了红外遥控系统。本文主要研究采用单片机发送并接收红外遥控信号的方法。 主题 1 单片机红外遥控系统概述 红外遥控有发送和接收两个组成部分。发送端采用单片机的定时中断功能,由定时器 T0 产生周期性的 26us 的矩形脉冲,即每隔13us,定时器 T0 产生中断输出一个相反的信号使单片机输出端产生周期为38KHz的脉冲信号。脉冲图如图 1 所示。将待发送的二进制信号编码调制为一系列的脉冲串信号,通过红外发射管发射红外信号。红外接收端普遍采用价格便宜,性能可靠的一体化红外接收头(如HS0038 , 它接收红外信号频率为38KHz ,周期约26μs)接收红外信号,它同时对信号进行放大、检波、整形,得到TTL 电平的编码信号,再送给单片机,经单片机解码并执行,去控制相关对象。整个系统如图 2所示。 图 1 38KHz 载波信号 图 2 红外线遥控系统框图

2 红外遥控编码方式 2.1 脉冲个数编码方式 该方式中遥控端采用脉冲个数编码,不同的脉冲个数代表不同的码,最小为2个脉冲,最大为17个脉冲。为了使接收可靠,在编码发射的起始处设置引导帧,即第一位码宽为3ms ,用来使接收端判别遥控操作已开始。其余为1ms ,遥控码数据帧间隔大于10ms ,如图3所示。 2.1.2 遥控码的发射 系统中可采用89S51 芯片。并用P1口组成键盘,获取键值,用内部的定时器T0产生一个38KHz 的软件定时中断,当作红外遥控的调制基波,当某个操作按键按下时,单片机先读出键值,然后根据键值设定待发射遥控码的脉冲个数,再调制成38kHz 方波由红外线发光管发射出去。单片机P3.5端口的输出调制波形如图3所示。 2.1.3遥控码的接收 当红外线接收器接收到红外遥控信号,并输出脉冲帧数据时,第一位码的低电平将启动中断程序,实时接收数据帧。在数据帧接收时,将对第一位(起始位)码的码宽进行验证。若第一位低电平码的脉宽小于2ms ,将作为错误码处理。当间隔位的高电平脉宽大于3ms 时,结束接收,然后根据累加器A 中的脉冲个数,执行相应输出口

红外成像技术的发展及应用

红外成像技术的发展及应用 热成像仪是从对红外线敏感的光敏元件上发展而来,但是光敏元件只能判断有没有红外线,无法呈现出图像。在第二次世界大战中交战各国对热成像仪的军事用途表现出了兴趣,对其进行了零星的研究和小规模应用,1943年美国就与RNO合作生产了一款代号M12的机型,其功能和外观已经能看出热成像仪的雏形,这应该算是最找的一款热成像仪,算是热成像仪的鼻祖。 1952年,一款非常重要的材料研-锑化铟被开发出来,这种新的半导体材料促进了红外线热成像仪的进一步发展。不久之后,德州仪器和RNO公司联合开发出了具有实用价值的前视红外线(Forward looking infrared)热成像仪。这一系统采用的是单原件感光,利用机械装置控制镜片转动,将光线反射到感光元件上。 随着碲镉汞材料制造工艺的成熟,在军事领域大规模采用热成像仪成为了可能。60年代之后出现了由60或更多的感光元件组成的线性整列,美国的RNO公司将热成像仪的应用拓展至民用领域发展。然而由于最初采用的是非制冷感光元件,制冷部件加上机械扫描机构使得整个系统非常庞大。 等到CCD技术成熟之后,焦平面阵列式热成像仪取代了机械扫描式热成像仪。至80年代半导体制冷技术取代了液氮、压缩机制冷之后开始出现了便携、手持的热成像仪。90年代之后,RNO公司又开发

出了基于非晶硅的非制冷红外焦平面阵列,进一步降低了热成像仪的生产成本。 红外线,又称红外辐射,是指波长为0.78~1000微米的电磁波。其中波长为2~1000微米的部分称为热红外线。 目标的热图像和目标的可见光图像不同,它不是人眼所能看到的可见光图像,而是表面温度分布图像。红外热成像使人眼不能直接看到表面温度分布,变成可以看到的代表目标表面温度分布的热图像。所有温度在绝对零度(-273)℃以上的物体,都会不停地发出热红外线。红外线(或热辐射)是自然界中存在最为广泛的辐射,它还具有两个重要的特性:(1)物体的热辐射能量的大小,直接和物体表面的温度相关。热辐射的这个特点使人们可以利用它来对物体进行无需接触的温度测量和热状态分析,从而为工业生产,节约能源,保护环境等方面提供了一个重要的检测手段和诊断工具。(2) 大气、烟云等吸收可见光和近红外线,但是对3~5微米和8~14微米的热红外线却是透明的。因此,这两个波段被称为热红外线的“大气窗口”。利用这两个窗口,使人们在完全无光的夜晚,或是在烟云密布的战场,清晰地观察到前方的情况。由于这个特点,热红外成像技术在军事上提供了先进的夜视装备,并为飞机、舰艇和坦克装上了全天候前视系统。这些系统在现代战争中发挥了非常重要的作用。 全球红外热像仪市场发展具有广阔的前景并呈现良好的发展趋势。红外热像仪是一种用来探测目标物体的红外辐射,并通过光电转换、电信号处理等手段,将目标物体的温度分布图像转换成视频图像

利用红外线传感器实现接近感应应用

利用红外线传感器实现接近感应应用 在消费电子产品中,接近感应作为一种探测用户身体或手部存在的方法,越来越为人们所接受。该技术也能够用于动作感应,如检测用户手势。用户手势作为一种输入,可以应用于许多设备,如手机、计算机和其他家用电子产品。 要理解动作感应系统设计的理论基础,需要了解红外线(IR)与可见光的差异,探讨接近和动作感应系统如何在单一LED 下运行,以及动作感应在使用多个LED 进行多接近测量时如何工作。当我们谈及“光”时,通常指的是来自太阳或灯具的可见光,然而,可见光仅占光谱范围中的一小部分。我们把可见光定义为人眼可以识别的所有光线,通常人眼可以识别的光线波长为380-750nm。那么,人眼无法识别的非可见光(如波长为850 nm 光)又如何呢? IR 辐射光的波长为750nm-1000μm,IR 光与可见光有着相同的特性,例如反射率,而且它可以通过特殊灯泡或发光二极管生成。因为人眼无法看到IR 光,所以我们可以用它来完成一些特殊的人机界面任务,例如接近检测,而无需用户与系统进行任何直接接触。 IR 接近传感系统能够检测附近物体的存在,并根据检测结果做出反应。IR 接近检测的应用无处不在。例如,手机可以使用接近传感技术检测通话时手机是否接近面部。当你把手机靠近耳边时,手机将检测到头的存在,从而自动关闭屏幕以节省电能。其他接近感应系统的例子包括皂液器和饮水机,你可以把手放在传感器附近(通常在皂液管或水龙头附近),以“非接触”而又卫生的方式获取皂液或水。在高端汽车上,外部防碰撞系统也使用接近检测,当汽车与其他汽车或者物体太靠近时,接近检测会提醒司机注意。有些车辆还可以使用车内接近感应系统检测乘客的存在,从而调整安全装置(如安全气囊)。接近检测通过专门设计的IR LED 实现。与IR LED 相对应的是光电二极管,它一般用来检测LED 发出的IR 光。当IR LED 和光电二极管同方向放置时,光电二极管将不会检测到任何IR 光,除非有物体在 LED 的前面,将光反射回光电二极管。反射回光电二极管的光强与物体到光电二极管的距离逆向相关。 图 1:一维空间动作检测 单一 LED 和光电二极管相结合可以检测一些动作,例如可以检测物体是否靠近或远离光电二极管,这仅仅是一维空间检测。假设一个系统,其布局,单一LED 系统仅使用LED1 与IR 传感器。图2 是三个手势动作过程中Silicon Labs Si1120 传感器感应IR LED 后的输出值,其中Y 轴是反射的 IR 光强,X 轴是时间。三个手势包括沿图1 X 轴从左到右的滑动,沿Y 轴从底部到顶部的滑动,以及沿Z 轴由远及近,然后由近及远的往复动作。图2 表明,单一LED 系统不能区分这些手势,使用单一 LED,系统只能检测到物体正在接近或远离传感器,而不能判别其方向。 图 2:单一LED 系统性能分析二维空间检测由位于不同位置的两个LED 和单个光电二极管组成。从LED1 得到一个测量值,然后快速从LED2 获得另一个测量值,两个测量值被用于计算二维空间上的物体位置。其中一维空间是接近 LED1(左)或接近LED2(右),而另一维空间是接近或远离光电二极管。图3 是与图2 相同的三个手势,其中白线代表从LED1 中读出的数据,红线代表从LED2 读出的数据。从左到右滑动过程中,白线上升,然后是红线。当手从左到右滑动时,LED1 反射IR 光到传感器,然后是LED2。 图 3:二维空间中手势性能分析三维空间动作检测由三个LED 和单个光电二极管组成。LED3 与LED1、LED2 不在同一直线上,,可以把LED1 和LED2 之间的连线看作X 轴,LED1 和LED3 之间的连线看作Y 轴,从光电二极管和LED 到被测物体之间的连线看作Z 轴。图4 显示了与图2 和图3 相同的测量过程,其中蓝线代表LED3 的测量数据。当手从左向右滑动

激光器

激光基础知识2——激光器 中文名称:激光器 英文名称:laser 定义:产生激光的装置。 应用学科:机械工程(一级学科);光学仪器(二级学科);激光器件和激光设备-激光器名称(三级学科) 一、原理 除自由电子激光器外,各种激光器的基本工作原理均相同,产生激光的必不可少的条件是粒子数反转和增益大过损耗,所以装置中必不可少的组成部分有激励(或抽运)源、具有亚稳态能级的工作介质两个部分。 激励是工作介质吸收外来能量后激发到激发态,为实现并维持粒子数反转创造条件。激励方式有光学激励、电激励、化学激励和核能激励等。 工作介质具有亚稳能级是使受激辐射占主导地位,从而实现光放大。 激光器中常见的组成部分还有谐振腔,但谐振腔(见光学谐振腔)并非必不可少的组成部分,谐振腔可使腔内的光子有一致的频率、相位和运行方向,从而使激光具有良好的方向性和相干性。而且,它可以很好地缩短工作物质的长度,还能通过改变谐振腔长度来调节所产生激光的模式(即选模),所以一般激光器都具有谐振腔。 二、激光工作物质 是指用来实现粒子数反转并产生光的受激辐射放大作用的物质体系,有时也称为激光增益媒质,它们可以是固体(晶体、玻璃)、气体(原子气体、离子气体、分子气体)、半导体和液体等媒质。对激光工作物质的主要要求,是尽可能在其工作粒子的特定能级间实现较大程度的粒子数反转,并使这种反转在整个激光发射作用过程中尽可能有效地保持下去;为此,要求工作物质具有合适的能级结构和跃迁特性。

三、激励抽运系统 是指为使激光工作物质实现并维持粒子数反转而提供能量来源的机构或装置。根据工作物质和激光器运转条件的不同,可以采取不同的激励方式和激励装置,常见的有以下四种。 ①光学激励(光泵)。是利用外界光源发出的光来辐照工作物质以实现粒子数反转的,整个激励装置,通常是由气体放电光源(如氙灯、氪灯)和聚光器组成,这种激励方式也称作灯泵浦。 ②气体放电激励。是利用在气体工作物质内发生的气体放电过程来实现粒子数反转的,整个激励装置通常由放电电极和放电电源组成。 ③化学激励。是利用在工作物质内部发生的化学反应过程来实现粒子数反转的,通常要求有适当的化学反应物和相应的引发措施。 ④核能激励。是利用小型核裂变反应所产生的裂变碎片、高能粒子或放射线来激励工作物质并实现粒子数反转的。 四、光学共振腔 通常是由具有一定几何形状和光学反射特性的两块反射镜按特定的方式组合而成。作用为: ①提供光学反馈能力,使受激辐射光子在腔内多次往返以形成相干的持续振荡。 ②对腔内往返振荡光束的方向和频率进行限制,以保证输出激光具有一定的定向性和单色性。 共振腔作用①,是由通常组成腔的两个反射镜的几何形状(反射面曲率半径)和相对组合方式所决定;而作用②,则是由给定共振腔型对腔内不同行进方向和不同频率的光,具有不同的选择性损耗特性所决定的。 五、激光器分类 分别从激光工作物质、激励方式、运转方式、输出波长范围等几个方面进行分类。 5.1按工作物质分类 根据工作物质物态的不同可把所有的激光器分为以下几大类:

怎样用安卓智能手机做红外万能遥控器

怎样用安卓智能手机做红外万能遥控器 标准化管理处编码[BBX968T-XBB8968-NNJ668-MM9N]

用安卓智能手机做红外万能遥控器 摘要: 本课题旨在利用现已成熟的且功能十分强大的安卓智能手机操作系统为软件基础,配合一种合适各种手机的外接硬件模块,通过将手机耳机接口输出的高低电压信息在外接模块内转化为红外线输出,达到能遥控各种以红外遥控器控制的家用电器。 本课题的创新点有如下两点。其一是将各个红外遥控器的功能集合在一部智能手机上的集合性。其二是利用了耳机接口输出的音频电压信号进行信息的传输,保证软硬件的高度适应性。 关键词: 智能手机万能遥控器红外遥控器耳机接口 绪论 课题由来 遥控控制的家用电器越来越多,家里各种各样的遥控器也越来越多,日常存放、寻找和使用都很不方便。要是一个老型号的家电的遥控器丢了,配都配不到。 手机是现在人们最常使用的一种手持式的通讯设备,大家都已经习惯随身携带手机和把手机经常放在身边。所以,很多人都希望手机也可以当家用电器的遥控器使用。

现在,智能手机的软硬件已经十分强大,大尺寸的触摸屏可以设计成各种键盘布局的控制器。只要有合适的软件,配合小的附件,智能手机都可以当作家用电器的万能遥控器来使用。 众所周知,任何红外线的信号都是可以由一串二进制编码翻译表达出来的,而任何一段由手机耳机接口输出的音频电压信号都可以传递出一串含有二进制编码信息,只要根据一定的规则,配合合适的硬件模块,就可以将手机耳机插口输出的音频电压信号转化为红外遥控器的红外线发射出来。 本课题旨在通过智能手机的软件支持,配合一个外接硬件红外发射模块,通过将手机耳机接口输出的高低电压信息在外接模块内转化为红外线输出,达到各种电器遥控器合为一体的目的,力求为使用者带来方便。 研究背景 微软的Windows Mobile、谷歌的Android和苹果的iOS等手机操作系统都有成熟的软件开发平台,个人和第三方组织为智能手机开发专门的应用软件是一门成熟的技术。 目前市面上已经存在的能达到类似功能的万能遥控器主要有以下几类,下面对主要几种类型进行分析,并与本课题进行优劣比较。 1)学习试的万能电视遥控器。图(1) 这种遥控器能够达到学习已有遥控器发出的红外编码,储存在自身内部并映射在固定实体面板的每个按键上。它最大的不足之处是,只能有一种固定的面板,操控一个电视或家电。如果要更换操纵对象,就必须对每个按键所对应的红外编码再一次进行学习与记录。与本课题相比,此类学习试的万能电视遥控器没有高度集合性。

中红外光纤激光器

中红外光纤激光器 摘要 位于2~5μm中红外波段的激光在国防、医疗、通信方面有着特殊的 重要应用。利用固体激光器泵浦稀土离子掺杂的玻璃光纤产生荧光发射是 直接获得2~5 μm 波段中红外激光的有效途径,具有光束质量好、体积 小、转换效率高、散热效果好等优点。本文介绍了中红外光纤激光器的原 理、研究现状和发展前景。对中红外光纤激光器的发展和研究方向进行了 阐述。 关键词:中红外;光纤激光器;稀土离子;硫化物光纤;氟化物光纤 一、中红外光纤激光器简介 1.1 中红外激光 位于2~5μm中红外波段的激光在国防、医疗、通信方面有着特殊的重 要应用。它位于大气“透明窗口”,处于大多数军用探测器的工作波段, 可 以进行战术导弹尾焰红外辐射模拟、人眼安全的激光雷达、激光定向红外 干扰等军事用途。在民用领域可用于遥感化学传感、空气污染控制,它还 可以用于新一代激光手术,使血液迅速凝结,手术创面小、止血性好(水分 子在3μm附近有很强的吸收峰)此外,采用2~5 μm 替代目前广泛使用 的1.55 μm 作为光纤通信工作波长也是一项极具研究价值的课题,由于 材料的Rayleigh 散射与光波长的四次方成反比,采用2~5 μm 作为工 作波长可以有效降低光纤损耗,增加无中继通信的距离。因此,研发中 红外波段的激光器对于国家安全和国民经济建设具有十分重要的意义。 获得中红外激光的方法有间接方法和直接方法。其中间接方法包括: (1) CO2激光器的倍频及差频输出 (2) 利用非线性红外晶体采用非线性频率变换或光学参量振荡技术 将其它波段激光调谐到中红外波段 直接方法包括: (1)以氟化氘等为介质的化学激光器 (2) 以AlGaAsSb,InGaAsSb,InAs/(In)GaSb 等锑化物窄禁带半导 体、过渡金属离子掺杂的Ⅱ–Ⅵ族半导体制作的中红外激光器 (3)近红外半导体激光泵浦的稀土离子或过渡金属离子掺杂的玻璃、

红外遥控技术

红外遥控技术 红外遥控系统的组成红外遥控系统主要由遥控发射器、一体化接收头、单片机、接口电路组成,如图一所示。遥控器用来产生遥控编码脉冲,驱动红外发射管输出红外遥控信号,遥控接收头完成对遥控信号的放大、检波、整形、解调出遥控编码脉冲。遥控编码脉冲是一组串行二进制码,对于一般的红外遥控系统,此串行码输入到微控制器,由其内部CPU 完成对遥控指令解码,并 执行相应的遥控功能。使用遥控器作为控制系统的输入,需要解决如下几个关键问题:如何接收红外遥控信号;如何识别红外遥控信号以及解码软件的设计、控制程序的设计。 红外遥控信号的接收接收电路使用集成一体化红外接收头SM0038(1 )。 图一红外遥控系统组成方框图 SM0038 对外只有3 个引脚:VS、GND 和1 个脉冲信号输出引脚OUT,外形引脚如图二所示。与单片机接口非常方便,如图三所示。VCC 接电源+5V 并经电容进行滤波,以避免电源干扰; GND 接系统的地线(0V);脉冲信号输出接CPU 的中断输入引脚(例如8051 的13 脚INT1)。采取这种连接方法,软件解码既可工作于查询方式,也可工作于中断方式。 图二红外接收头SM0038 图三SM0038 与单片机接口电路 红外遥控编码规律目前应用中的各种红外遥控系统的原理都大同小异,区别只是在于各系统的信号编码格式不同。遥控专用集成电路的编码格式是公开的,可以查阅到。下面就以TC9012 组成的遥控器说明它的编码体制规律。当按下遥控器上任一按键时,TC9012 即产生一串脉冲编码如图四所示。TC9012 形成的遥控编码脉冲对40kHz 载波进行脉冲幅度调制后便形成遥控信号,经驱动电

基于单片机红外遥控开关的设计

NANCHANG UNIVERSITY 毕业论文设计 diploma project and thesis (2009—2011年) 题目:基于单片机红外遥控开关设计 英文题目:The Design of the Infrared-controller Based on SCM 学院: 高等职业技术学院 系别: 信息工程系 专业: 应用电子技术 班级: 09级应用电子技术1 学生姓名: 胡会亮 学号: 8210909008 指导老师: 梅光 起讫日期:2011年11月1日-2012年5月15日 二○一一年十二月

摘要 红外遥控技术的出现,不仅大大提高了劳动生产率,降低了成本,而且减轻了人们的劳动强度,改善了劳动条件。红外线遥控器具有体积小、功耗低、功能强、成本低等特点从而成为了当今非常流行的一种控制方式红外遥控器是一种利用红外遥控系统来控制被控对象的系统.整个系统由 数字电路和模拟电路两个部分组成。发射部分包括键盘矩阵、编码调制、LED 红外发送器;接收部分包括LED红外光发射、解调、解码电路。[1]通过对设计要求地认真分析和研究,拿出了几种可行方案,最终选定了一个最佳方案。该方案是采用先进的单片机技术实现遥控的主要手段。我们所设计的遥控器能控制5个电器的电源开关,并且可对一路电灯进行亮度的调节。 关键字:遥控电路,红外发射,红外接收,单片机 Abstract Infrared remote control technology, not only greatly improved labor productivity, reduced costs, and reduce the people's labor intensity and improve the working conditions. Infrared remote control has a small size, low power consumption, functionality, and low cost in order to become a very popular present-day control. The infrared remote control is one kind of use infrared remote control system controls is controlled the object the department green overall system is composed by the digital circuit and the analogous circuit two parts. Launches partially including the keyboard matrix, the coded modulation, the LED infrared transmitter; Receives partially including the LED infrared light launch, the demodulation, decodes the electric circuit. After analyzing and researching on the request of the design, we take several blue print and we selected the best one in the end. The project make use of advanced SCM to realize the remote control. Remote controller we designed can dominate 5 electrical source switches and adjust the brightness of one light. keyword:Remote controlling circuit Infrared emission Infrared receiving, SCM

激光器原理及其应用讲解

激光器原理及其应用 应用化学0402班宋彬 0120414450201 摘要由于激光器具备的种种突出特点,因而被很快运用于工业、农业、精密测量和探测、通讯与信息处理、医疗、军事等各方面,并在许多领域引起了革命性的突破。关键词激光器激光工作物质激励(泵浦系统光学共振腔分类及应用 正文: 激光器 laser 能发射激光的装置。1954年制成了第一台微波量子放大器,获得了高度相干的微波束。1958年A.L. 肖洛和C.H. 汤斯把微波量子放大器原理推广应用到光频范围,并指出了产生激光的方法。1960年T.H. 梅曼等人制成了第一台红宝石激光器。1961年A. 贾文等人制成了氦氖激光器。1962年R.N. 霍耳等人创制了砷化镓半导体激光器。以后,激光器的种类就越来越多。按工作介质分,激光器可分为气体激光器、固体激光器、半导体激光器和染料激光器4大类。近来还发展了自由电子激光器,其工作介质是在周期性磁场中运动的高速电子束,激光波长可覆盖从微波到X 射线的广阔波段。按工作方式分,有连续式、脉冲式、调Q 和超短脉冲式等几类。大功率激光器通常都是脉冲式输出。各种不同种类的激光器所发射的激光波长已达数千种,最长的波长为微波波段的0.7毫米,最短波长为远紫外区的210埃,X 射线波段的激光器也正在研究中。 除自由电子激光器外,各种激光器的基本工作原理均相同,装置的必不可少的组成部分包括激励(或抽运)、具有亚稳态能级的工作介质和谐振腔(见光学谐振腔)3部分。激励是工作介质吸收外来能量后激发到激发态,为实现并维持粒子数反转创造条件。激励方式有光学激励、电激励、化学激励和核能激励等。工作介质具有亚稳能级是使受激辐射占主导地位,从而实现光放大。谐振腔可使腔内的光子有一致的频率、相位和运行方向,从而使激光具有良好的定向性和相干性。

红外成像技术原理及其应用

红外热成像技术,也是一个有非常广阔前途的高科技技术,其大量的应用将会引起许多行业变革性的改变。 一、什么是红外热成像? 光线是大家熟悉的。光线是什么?光线就是可见光,是人眼能够感受的电磁波。可见光的波长为:0.38 ~0.78 微米。比0.38 微米短的电磁波和比0.78 微米长的电磁波,人眼都无法感受。比0.38 微米短的电磁波位于可见光光谱紫色以外,称为紫外线,比0.78 微米长的电磁波位于可见光光谱红色以外,称为红外线。红外线,又称红外辐射,是指波长为0.78 ~1000微米的电磁波。其中波长为0.78 ~2.0 微米的部分称为近红外,波长为2.0 ~1000 微米的部分称为热红外线。 照相机成像得到照片,电视摄像机成像得到电视图像,都是可见光成像。自然界中,一切物体都辐射红外线,因此利用探测仪测定目标的本身和背景之间的红外线差并可以得到不同的红外图像,热红外线形成的图像称为热图。 目标的热图像和目标的可见光图像不同,它不是人眼所能看到的目标可见光图像,而是目标表面温度分布图像,换一句话说,红外热成像使人眼不能直接看到目标的表面温度分布,变成人眼可以看到的代表目标表面温度分布的热图像。 二、红外热成像的特点是什么? 有位著名的美国红外学者指出:“人类的发展可分为三个阶段。第一个阶段是人类通过制造工具,扩展体力活动的能力,第二阶段通过提高判断能力,寻求更清晰和更广泛的理解与判断事物的标准,而人类近年来致力的增强获得输入信息的能力,扩大感觉范围或增填新的感官,使我们的大脑能接受更多的信息,正是人类发展的第三阶段。在这个阶段中,红外技术的发展已经把人类的感官由五种增加到六种”。这一席话,我认为恰如其分的道出了红外热成像技术在当代的重要性。因为,我们周围的物体只有当它们的温度高达1000 ℃以上时,才能够发出可见光。相比之下,我们周围所有温度在绝对零度(-273 ℃)以上的物体,都会不停地发出热红外线。例如,我们可以计算出,一个正常的人所发出的热红外线能量,大约为100 瓦。所以,热红外线(或称热辐射)是自然界中存在最为广泛的辐射。热辐射除存在的普遍性之外,还有另外两个重要的特性。 1.大气、烟云等吸收可见光和近红外线,但是对3 ~5 微米和8 ~14 微米的热红外线却是透明的。因此,这两个波段被称为热红外线的“大气窗口”。利用这两个窗口,可以使人们在完全无光的夜晚,或是在烟云密布的战场,清晰地观察到前方的情况。正是由于这个特点,红外热成像技术在军事上提供了先进的夜视装备,并为飞机、舰艇和坦克装上了全天候前视系统。这些系统在海湾战争中发挥了非常重要的作用。 2.物体的热辐射能量的大小,直接和物体表面的温度相关。热辐射的这个特点使人们可以利用它来对物体进行无接触温度测量和热状态分析,从而为工业生产,节约能源,保护环境等等方面提供了一个重要的检测手段和诊断工具。 红外热成像仪器 根据所有物体都在不停发射红外线的特点,各国竞相开发出各种红外热成像仪器。美国德克萨斯仪器公司(TI)在1964年首次研制成功第一代的热红外成像装置,叫红外前视系统(FLIR),这类装置利用光学元件运动机械,对目标的热辐射进行图像分解扫描,然后应用光电探测器进行光—电转换,最后形 成热图象视频信号,并在荧屏上显示,红外前视系统至今仍是军用飞机、舰船和坦克上的重要装置。 六十年代中期瑞典AGA 公司和瑞典国家电力局,在红外前视装置的基础上,开发了具有温度测量功能的热红外成像装置。这种第二代红外成像装置,通常称为热像仪。七十年代法国汤姆荪公司研制出不需致冷的红外热电视产品。 九十年代出现致冷型和非致冷型的焦平面红外热成像仪,这是一种最新一代的红外热成像仪,可以进行大规模的工业化生产,把红外热成像的应用提高到一个新的阶段。 七十年代中国有关单位已经开始对红外热成像技术进行研究,到八十年代初,中国在长波红外元件的研制和生产技术上有了一定的进展。到了八十年代末和九十年代初,中国已经研制成功了实时红外成像样

关于红外传感器的报告要点

关于红外传感器的报告 摘要:本文主要介绍一些关于红外传感器的一些基本知识和工作原理,从而让我们能够从一定程度上了解红外传感器这一传感器的种类。对于红外传感器的认识,能够帮助我们更好的利用红外传感器,让我们的生活或者工作更加方便和愉快。 关键字:红外辐射、传感器、原理、用途 红外传感器(也称为红外探测器)是能将红外辐射能转换成电能的光敏器件,它是红外探测系统的关键部件,其性能好坏,将直接影响系统性能的优劣。因此,选择合适的、性能良好的红外传感器,对于红外探测系统是十分重要的。而作为红外传感器的重要组成部分,红外辐射是不得忽略的重中之重。下面我们先介绍红外辐射的相关知识和原理。 一、红外辐射的工作原理简介: 红外辐射是一种人眼不可见的光线,俗称红外线,因为它是介于可见光中红色光和微波之间的光线。红外线的波长范围大致在0.76-1000μm之间,对应的频率大致在4×104至3×1011Hz之间,工程上通常把红外线所占据的波段分成近红外、中红外、远红外和极远红外4 个部分。 下图是红外线的电磁波谱图: 红外分区:在红外技术中,一般将红外辐射分为4个区域 (1)近红外区: 770 nm~ 1.5 μm (2)中红外区: 1.5 μm ~ 6μm (3)远红外区: 6μm ~ 40μm (4)极远红外区: 40μm ~ 1000μm 注意:这里所说的远近是指红外辐射在电磁波谱中与可见光的距离。

红外辐射本质上是一种热辐射。任何物体,只要它的温度高于绝对零度( -273 ℃),就会向外部空间以红外线的方式辐射能量,一个物体向外辐射的能量大部分是通过红外线辐射这种形式来实现的。物体的温度越高,辐射出来的红外线越多,辐射的能量就越强。另一方面,红外线被物体吸收后可以转化成热能。 红外线作为电磁波的一种形式,红外辐射和所有的电磁波一样,是以波的形式在空间直线传播的,具有电磁波的一般特性,如反射、折射、散射、干涉和吸收等。红外线在真空中传播的速度等于波的频率与波长的乘积,即 c =λ f 。红外辐射的强度及波长与物体的温度和辐射率有关,能在任何温度下全部吸收投射到其表面的红外辐射的物体称为黑体,能全部反射红外辐射的物体称为镜体,能全部透过红外辐射的物体称为透明体,能部分反射或吸收红外辐射的物体称为灰体。自然界并不存在理想的黑体、镜体和透明体,绝大部分物体都属于灰体。 二、红外线的物理特性: ①热效应 ②穿透云雾的能力强 ①热效应及应用: 一切物体都在不停的辐射红外线。物体的温度越高,辐射的红外线就越多。红外线照射到物体上最明显的效果就是产生热。冬天烤火,就是因为有大量的红外线从炉子里射到人身上,才能让我们感觉到热乎乎的。 人体生病的时候,虽然外面看起来没有什么变化,但是由于局部皮肤的温度不正常,如果在照相机里装上对红外感光的胶片,给皮肤拍照再与正常人的照片对比,可以对疾病作出诊断。这种相机拍出来的照片叫热谱图。 根据红外线的热效应,人们还研究出了红外线夜视仪。红外线夜视仪在漆黑的夜晚也可以发现人的存在。夜间人的体温比周围草木或建筑的温度高,人体辐射出来的红外线就比他们强。可以帮助人们在夜间进行观察、搜索、瞄准和驾驶车辆等。 物体在辐射红外线的同时,也在吸收红外线。各种物体吸收了红外线以后温度就会升高。我们就可以利用红外线的热效应来加热物品。家庭用的红外线烤箱,浴室用的暖灯,也就是浴霸等等。物体加热可以利用红外线烘干汽车表面的喷漆,烘干稻谷等作物。 在医学上,还可以利用红外线的热效应进行理疗。在红外线照射下,组织温度升高,血流加快,物质代谢增强,组织细胞活力及再生能力提高。伤口就容易痊愈。 ②穿透能力强的应用: 穿透云雾的能力强(波长较长,易于衍射) ,由于一切物体,都在不停地辐射红外线,并且不同物体辐射红外线的强度不同,利用灵敏的红外线探测器接收物体发出的红外线,然后用电子仪器对接到的信号进行处理,就可以察知被测物体的形状和特征,这种技术叫做红外线遥感技术,可以用在卫星上勘测地热、寻找水源、监测森林火情、估计农作物的长势和收成。还有我们每天都要关注的天气预报,也是红外线遥感技术。 红外辐射在大气中传播时,由于大气中的气体分子、水蒸汽以及固体微粒、尘埃等物质的吸收和散射作用,使辐射能在传输过程中逐渐衰减。空气中对称的双原于分子,如N2、H2、O2不吸收红外辐射,因而不会造成红外辐射在传输过

相关文档
相关文档 最新文档