文档库 最新最全的文档下载
当前位置:文档库 › DC常用命令及脚本

DC常用命令及脚本

DC常用命令及脚本
DC常用命令及脚本

利用DC进行综合设计

(2012-03-25 17:45:10)

转载▼

标签:

dc

综合

杂谈

DC的综合术语用于设计的ASIC的EDA

工具往往是一套很复杂的软件,包括大量的工具和文档。同

时,每个公司都有自己的一套术...

一、预备知识

1.1、DC的综合术语

用于设计的ASIC的EDA工具往往是一套很复杂的软件,包括大量的工具和文档。同时,每个公司都有自己的一套术语。只有理解了这些术语的含义才能很好的掌握这些工艺。

1 设计和设计对象

设计是实现一定逻辑功能的电路的描述。

设计对象就是在设计中被DC命令、属性和约束操作或控制的对象。常用的设计中包括元件、网络、端口、引脚和时钟。另外,一个设计可能包括某个相同子设计或库元件的多个例化。这时候被例化的设计或库元件称作参考。

在一个设计中查找某个对象的命令:find,这是在DC命令中很常用的命令。如:

列出当前设计的所有端口(ports):Dc_shell>findport OR find(port,”*”)

列出所有包含”DW”字母的元件:dc_shell>find (cell,”*DW*”)

列出cba_core库中的AN2门的所有接脚:dc_shell>find(pin,cba_core/AN2

Read –format vhdl ./src/rtl/timer_tugw.vhd

Read –format vhdl ./src/rtl/test_top.vhd

Check_error –v

If(dc_shell_status= =1){exit 1}

Current_design test_top

Link

Check_error –v

If(dc_shell_status= =1){exit 1}

Include pass0/constraint/test_top.con

Check_error –v

If(dc_shell_status= =1){exit 1}

Set_max_area 0.0000

Set_flatten false

Echo”***doing full compile for the partition …test_top?***”

Sh date;

Compile –map_effort medium

Check_error –v

If(dc_shell_status= =1){exit 1}

Sh date;

Check_design

Compile_top_acs_partion=ture

Compile_top_all_paths=true

Echo”***doing boundary compile for the partition …test_top?***”Sh date;

Compile –top

Uniquify

Check_error –v

If(dc_shell_status= =1){exit 1}

Sh date;

Current_design test_top

Write –format db –hierarchy –output pass0/db/test_top.db

Write –format verilog –hierarchy –output pass0/db/test_top.v

Write –format vhdl –hierarchy –output pass0/db/test_top.vhd

Report_timing> pass0/report/test_top.tim

Report_area> pass0/report/test_top.area

Report_constraints> pass0/report/test_top.cstr

Report_qor> pass0/report/test_top.qor

Report_constraints–all_violators–verbose>pass0/report/test_top.vio

quit

脚本文件可以使用任何一个文本编辑工具产生或修改,也可以在dc_shell中执行write_scirpt 命令产生。如,下面的命令就是将设计的属性和约束等信息保存到名为test.scr的脚本文件。Dc_shell>write_>test.scr

脚本文件的语法应该符合dc_shell命令的语法。DC提供了一个语法检查工具和一个上下文检查工具,他们可以检查脚本文件的语法和脚本文件的错误,并提供相应的错误信息。

语法检查工具检查脚本文件的语法,但是不执行其中的命令。语法检查实现的功能包括:检查预先定义的命令参数是否正确,检查必须的参数是否存在、文件重定向问题、检查引用文件中的命令的语法、按照dc_shell正常的使用方式执行赋值。要使用语法检查,我们必须对该功能进行使能,此后每次引用或执行脚本前就会首先进行语法检查。在dc_shell中使能语法检查功能的方法如下:

Dc_shell>syntax_check true

Syntax check on.

上下文检查工具检查上下文的错误。在执行一个脚本文件前,我们应该对其进行上下文检查。上下文检查在检查每个命令的语法的同时,还会读入设计、检查设计和库文件是否有效、文件设法齐全等。其执行具体的功能包括:检查设计对象和属性的合法性,检查用户定义的属性,检查变量是否存在,检查条件语句的条件,检查循环语句,读入需要读入的文件,根据命令重定向文件,检查库和库对象。要使用上下文检查,我们必须对该功能进行使能,此后每次引用或执行脚本文件前就会进行上下文检查。使能命令如下。

Dc_shell>context_check true

Context check on.

最后,脚本文件的执行是比较简单的,可以通过两种方法:

在dc_shell中,应该使用include命令执行脚本文,include

在启动dc_shell时,可以使用-f选项执行脚本文件,dc_shell –f

在执行脚本前,如果我们激活了脚本语法检查和上下文检查,那么就会先进行相应的检查。

二、准备HDL文件

输入DC的文件通常是HDL文件,HDL的代码质量将直接影响综合的效果,因此在编码中必须考虑设计数据管理、设计划分和HDL代码的风格

2.1、数据文件管理

首先,由于综合过程中需要大量的数据和多种文件,因此数据的管理就显得非常重要。这种管理通常设计两个部分,设计数据控制和数据组织。控制设计数据主要是控制数据的创建、维护、覆盖和删除等。这和软件工程中的版本控制是基本一致的。在DC中对于不同类型的的文件,一般有约定的扩展名。在控制数据的同时还必须注意对数据合理有效的组织,一般,在使用DC综合的时候,我们都会使用层次化的目录结构来存放数据文件。相对于不同的综合策略,我们也有两种不同的目录结构。

可以看出,在应用自顶向下的综合策略时,由于综合是从顶层模块开始一次到各个模块,所以只需要一个综合目录。而自底向上的综合则现对各个模块进行综合,因此可能有多个综合目录。

2.2、设计划分

对设计的合理划分可以改善综合的结果,减少操作的时间,简化约束文件和脚本文件。划分直接影响设计中模块的大小,如果模块太小,相当于人为的制造了一个界限,将限制DC有效的进行优化。另一方面,如果划分的模块太大,则会增加综合消耗的时间。

在划分的时候还要考虑设计的重用性,主要应该注意以下几点:详细定义并记录设计的接口,尽可能使接口标准化,编写参数化的代码。

通常,我们设计的划分一般使用以下策略:

(1)将相关的组合逻辑划分在一起

(2)消除胶连逻辑

(3)模块的输出都应该使用寄存器

(4)按照设计目标进行划分

按照编译技术划分,将设计中使用不同编译技术的部分划分到不同的模块。特别使当设计中既有高度结构化的逻辑(ALU),又有随机逻辑时,应当将他们分开。因为对于结构化的逻辑应该使用结构化编译,而对随机逻辑,应该使用扁平化的编译。

可以将共享的资源划分到一起

将用户定义的资源和他们驱动的逻辑划分到一起,用户定义的资源包括用户定义的函数、过程、宏单元和designware元件。DC对于这些资源无法自动实现共享和复制。因此,将他们和它们所驱动的逻辑放在一起,我们就可以手工的插入多个元件来增大驱动能力。

把顶层逻辑分成至少3级,将一些特殊的功能独立出来,如管脚、时钟、边界扫描和异步逻辑等。

如下图所示,一般情况下,设计的最顶层是I/O管脚。下一层包括边界扫描、时钟处理、异步逻辑和核心逻辑。增加这一层是为了I/O管脚例化的灵活性.将时钟处理独立出来是为了对这部分进行详细的分析仿真。将异步逻辑独立出来是为了可测性和静态时序分析的问题限制在一个比较小的范围之内。

设计划分的方法分为HDL划分和DC中的划分。HDL的划分就是根据上面一些原则通过HDL代码对设计进行划分。而DC中的划分是在DC中通过使用专门的命令,改变HDL中的划分和层次的结构。DC中提供了两个与此相关的命令:group,ungroup 。

三、确定设计库

综合之前必须设定链接库、目标库、符号库和综合库。连接和目标库属于工艺库,它们定义了半导体生产厂的工艺元件和其它信息。符号库定义用于design vision 或design analyzer 中的元件的符号表示

确定这些库是使用DC进行综合的前提条件,因此我们必须掌握DC中库的命令的使用,以便DC可以正确的使用库中的数据。

3.1、工艺库

工艺库包括描述每个单元的功能和特性的信息。工艺库由半导体厂商提供和维护。

元件库包括元件名称、元件接脚名称、面积、时延信息、接脚负载。同时工艺库还定义了实现设计功能必须满足的条件。这些条件也可以称作设计规则约束。除此之外,工艺库还确定了工艺的工作条件和线载模型。

工艺库在DC中有下面一些用途:

(1)实现设计的功能,在dc综合过程中要将设计映射到某个工艺库,他们称作目标库。目标库包括了所有用于生产网表的元件以及设计工作条件的定义。

(2)解析元件参考,在dc中用于解析元件参考的工艺库称为链接库。链接库中除了工艺库外还可能包括设计文件。

(3)计算时延和路径延时,链接库还定义了用于计算时延值和路径延时的时延模型

(4)计算消耗的功率

3.2、设置库变量

在使用dc综合之前我们必须对库进行设置。具体的方法是使用dc_shell中的变量来确定各种库。变量和库文件的定义见下表

在确定库的位置的时候,我们可以使用完整的路径也可以只用文件名。如果只确定了文件名,DC会在search_path变量确定的搜索路径中查找这个文件。

在设置工艺库和链接库的时候要注意:链接库包括了工艺库和设计文件。因此,link_library 变量的值是工艺库加上一个星号“*”,表示链接时,DC既搜索工艺库,也搜索调入内存中的设计文件。

除了设置库变量,DC还支持各种对库的操作,如:读入和保存库,列出使用的库,显示库的内容,确定库的对象甚至直接对库对象进行操作。如下为一个工艺库的例子:

Cell(AND2 3)//元件名称

(area:8.000;//元件面积

Pin(Y)(direction :output;

Timing()(

Related_pin:”A”;

Timing_sence:positive_unate;

Rise_propagation(drive_3 table_1)(values(“0.2626,0.2607…..”)) //接脚延时

Fall_progpagation(drive_3_table_3)(values(“0.1316,0.1331….”))

)

……..

)

Timing()(related_pin:”B”;

……………..

)

:”A&B”;//接脚的功能描述

Max_capatiance:0.00220

Min_capatiance:0.00220 //输出引脚的设计规则

)

Pin(A)(direction:input;

Capacitance:0.012000;)

Pin(B)(direction:input;//输入引脚的电器特性

Capacitance:0.010000;)

)

四、DC对设计的一些操作

4.1、读入文件

下表列出了DC支持的所有文件类型

对于设计文件,可以使用两种方法读入。使用read_file命令或使用analyze和elaborate命令。这两种方法有一些区别。简单的说,前一种适用于各种类型的文件,而后一种主要用于综合VHDL和verilog文件。但是使用后者有一些特殊的功能。具体说来,analyze的功能包括读入HDL源文件,检查错误,产生独立于HDL的中间类型的HDL库对象,存储中间文件。如果一个设计执行的analyze命令,只需要在这个设计改变之后再执行该操作。Elaborate命令根据analyze产生的中间文件产生一个与工艺无关的设计。这个操作还将HDL中的算术操作用于DESIGN WARE 库中的元件替代,同时确定总线的宽度。

当设计读入内存之后,它将转换为synopsys内部数据库的格式。DC之后的综合优化过程都是对内存中的设计执行的。

(1)链接

对于一个完整的设计,必须把所有的库元件和他们对应的设计参考链接起来。对于每一个设计,还必须有一个参考来衔接子设计和链接库。这一过程称为链接设计或参考解析。换句话说,链接就是要在链接库中找到设计中使用的所有元件和子设计,保证设计的完整。如果不能正确的链接,则无法进行以后的综合等操作。

DC通过一下步骤完成参考解析:首先,它确定哪个库元件和子设计在当前的设计中被使用。然后,它在链接库中定位这些参考。最后,把定位好的参考和设计链接起来。如图。

链接的操作可以自动进行,也可以手工完成。当使用一些DC命令,如compile时会自动进行链接。如果需要,也可以使用link命令进行手工链接。

(2)对设计和设计对象的操作

这些操作时DC中的一些基本操作,和其它EDA软件中的操作类似,如生成新设计、拷贝设计、重新命名等。其中比较重要的有以下几种:

设置当前设计。在DC中,我们应当注意当前设计的概念,因为大多数的命令都是针对当前设计进行的。一般说来,如果成功的读入了一个设计之后,这个设计就会作为当前设计。另外,如果指定了一个当前设计,可以使用current_design命令。

列出设计和设计对象。使用list_designs命令来列出内存中的设计,其中带“*”为当前设计。下表也列出了显示设计对象信息的一些命令。

改变设计的层次,在DC中我们可以改变HDL所描述的设计层次关系。首先,使用report_hierarchy命令可以显示设计当前的层次关系。然后,使用group可以将一些元件或子设计组合起来,增加一个逻辑层次。或使用ungroup名去掉一个逻辑层次。

编辑设计,使用下表列出的命令可以对设计对象进行编辑,如生成,删除等。

Creat_cell 生成一个元件

Remove_cell删除一个元件

Create_net生成一个网络

Connect_net 连接一个网络

Disconnect_net 断开一个网络

Remove_net 删除一个网络

Create_port 生成一个端口

Remove_port 删除一个端口

Create_bus生成一个总线

Remove_bus 删除一个总线

4.2、属性的处理

属性描述了设计数据库中对象逻辑的电气、物理和其它特性。一个属性通常都是附加给一个设计对象并存储于设计数据库中。

DC中,我们可以设置和使用以下一些对象的属性

整个设计

设计对象,如时钟,网络和端口

设计中的子设计或元件的例化

工艺库,库元件

属性有自己的名称、类型和值。属性一般有以下几种类型:串、数或是逻辑。属性可以是DC预设的,这些属性可以被DC识别;也可以是用户定义的。一些属性是只读的,其值由DC设置,用户无法修改,另一些是用户可以自己修改的。

例如,我们经常使用“dout_touch”属性,它可以付给网络,单元,端口或设计。而当我们需要设置这个属性的时候,需要专门的命令:set_dont_touch

而对于一般的属性,可以使用命令set_attribute来设置。

Design Compiler 综合脚本常用命令和模板

(2012-03-25 17:47:12)

转载▼

标签:

分类:微电子

dc

综合

script

杂谈

参照自己的设计,以及自己的工艺信息,适当修改下面的Constraints 和Run Script 等的脚本,添加一些相关的约束语句,就可以运行了详细...

参照自己的设计,以及自己的工艺信息,适当修改下面的Constraints 和Run Script 等的脚本,添加一些相关的约束语句,就可以运行了

详细的命令请参照DC的官方User Guide等相关资料。

Invoking Design Compiler

Unix% design_vision # Interactive GUI, WLM mode

Unix% design_vision –topographical # Interactive GUI, Topographical mode

Unix% dc_shell-t # Interactive shell, WLM mode

Unix% dc_shell-t –topographical # Interactive shell, Topographical mode

Unix% dc_shell-t –f RUN.tcl | tee –i my.log # Batch mode

.synopsys_dc.setup

set search_path “$search_path libs cons unmapped rtl”

set synthetic_library dw_foundation.sldb

set target_library 65nm.db

set link_library “* $target_library $synthetic_library IP.db”

set symbol_library 65nm.sdb

define_design_lib WORK –path ./work

set_svf

set_vsdc

history keep 200

set sh_enable_page_mode false

set cache_write .

set cache_read $cache_write

suppress_message {LINT-28 LINT-32 LINT-33 UID-401}

set alib_library_analysis_path [get_unix_variable HOME]

alias h history

alias rc “report_constraint -all_violators”

TCL Commands and Constructs

set PER 2.0 # Define a variable and its value

echo $PER # Variable substitution à 2.0

set MARG 0.95

expr $PER * $MARG # expr: *, /, +, -, >, <, =, <=, >=

set pci_ports [get_ports A] # Imbedded command

set pci_ports [get_ports “Y??M Z*”] # Wildcards

echo “Effctv P = \ # Soft quotes à 1.9

[expr $PERIOD * $MARGIN]”

echo {Effctv P = \ # Hard quotes

[expr $PERIOD * $MARGIN]} # à Effctv P = [expr $PER * $MARG] # Comment line

set COMMENT in_line; # In-line comment

set MY_DESIGNS {B1.v ... B26.v} # foreach loop

foreach DESIGN $MY_DESIGNS {

read_verilog $DESIGN

}

for {set i 1} {$i < 27} {incr i} { # for loop

read_verilog BLOCK_$i.v

}

Helpful UNIX-like DC-shell commands

pwd

cd

ls

history

!!

!7

!report

sh

printenv

get_unix_variable ARCH

Constraints

reset_design

set_max_area 0

create_clock -period 2 –name Main_Clk [get_ports Clk1]

create_clock –period 2.5 –waveform {2 3.5} [get_ports Clk2]

create_clock –period 3.5 –name V_Clk; # VIRTUAL clock

set_clock_uncertainty –setup 0.14 [get_clocks *]

set_clock_uncertainty –setup 0.21 –from [get_clocks Main_Clk] –to [get_clocks Clk2]

set_clock_latency –max 0.6 [get_clocks Main_Clk]

set_clock_latency –source –max 0.3 [get_clocks Main_Clk]

set_clock_transition 0.08 [get_clocks Main_Clk]

set_input_delay -max 0.6 -clock Main_Clk [all_inputs]

set_input_delay –max 0.3 –clock Clk2 –clock_fall –add_delay [get_ports “B E”]

set_input_delay -max 0.5 -clock –network_latency_included V_Clk [get_ports “A C F”]

set_output_delay -max 0.8 -clock –source_latency_included Main_Clk [all_outputs]

set_output_delay -max 1.1 -clock V_Clk [get_ports “OUT2 OUT7]

set_max_capacitance 1.2 [all_inputs]

set_load 0.080 [all_outputs]

set_load [expr [load_of slow_proc/NAND2_3/A] * 4] [get_ports OUT3]

set_load 0.12 [all_inputs]

set_input_transition 0.12 [remove_from_collection [all_inputs][get_ports B]]

set_driving_cell –lib_cell FD1 –pin Q [get_ports B]

set_operating_conditions –max WCCOM

set auto_wire_load_selection false

set_wire_load_model –name 1.6MGates

set_wire_load_mode enclosed

set_wire_load_model –name 200KGates [get_designs “SUB1 SUB2”]

set_wire_load_model –name 3.2MGates [get_ports IN_A]

set_port_fanout_number 8 [get_ports IN_A]

set_false_path -from [get_clocks Asynch_CLKA] -to [get_clocks Asynch_CLKB]

set_multicycle_path –setup 4 –from –from A_reg -through U_Mult/Out –to B_reg

set_multicycle_path –hold 3 –from –from A_reg -through U_Mult/Out –to B_reg

set_isolate_ports –type inverter [all_outputs]

set_ideal_network [get_ports reset* select*]

set_ideal_network [get_pins FF_SET_reg/Q]

set_ideal_network –no_propagate [get_nets CTRL]

set_ideal_latency 1.4 [get_ports reset* select*]

set_ideal_transition 0.5 [get_pins FF_SET_reg/Q]

set_scan_configuration -style Checking and Removing Constraints and Directives

report_clock; report_clock -skew

report_design

report_port –verbose

report_wire_load

report_path_groups

report_timing_requirements (–ignored)

report_auto_ungroup

report_isolate_ports

write_script –output

check_timing

reset_path –from FF1_reg

remove_clock

remove_clock_transition

remove_clock_uncertainty

remove_input_delay

remove_output_delay

remove_driving_cell

remove_wire_load_model

Syntax Checking

Unix% dcprocheck constr_file.con

Physical Constraints – Topographical Mode

set_aspect_ratio

set_utilization

set_placement_area

set_rectilinear_outline

set_port_side

set_port_location

set_cell_location

create_placement_keepout

Misc. Reports

# Generate A library report file

read_db library_file.db

list_libs

redirect –file reports/lib.rpt {report_lib } report_hierarchy [-noleaf]

# Arithmetic implementation and

# resource-sharing info

report_resources

# List area for all cells in the design

report_cell [get_cells –hier *]

Run Script

read_verilog {A.v B.v TOP.v} or

read_vhdl {A.vhd B.vhd TOP.vhd} or

read_ddc MY_TOP.ddc or

acs_read_hdl MY_TOP or

analyze –format verilog {A.v B.v TOP.v}

elaborate MY_TOP –parameters “A_WIDTH=8, B_WIDTH=16”

current_design MY_TOP

link

if {[check_design] ==0} {

echo “Check Design Error”

exit # Exits DC if a check-design error is encountered

} # Continue if NO problems encountered

write –f ddc –hier –out unmappedd/TOP.ddc

redirect –tee –file reports/precompile.rpt {source –echo -verbose TOP.con}

redirect –append –tee –file reports/precompile.rpt {check_timing}

source or # Source tcl constraints, if available, or extract_physical_constraints # Extract and apply from an existing # DEF floorplan file

group_path -name CLK1 -critical_range <10% of CLK1 Period> –weight 5

group_path -name CLK2 -critical_range <10% of CLK2 Period> –weight 2

group_path –name INPUTS –from [all_inputs]

group_path –name OUTPUTS –to [all_outputs]

group_path –name COMBO –from [all_inputs] –to [all_outputs]

set_fix_multiple_port_nets –all –buffer_constants

*********************************************************

* *

* Insert Expert, Ultra or ACS compile flow here *

* *

*********************************************************

check_design

report_constraint –all_violators

report_timing –delay –to –from –through –input_pins –max_paths \

–nworst –nets –cap –sig –group

report_area

report_qor

set verilogout_no_tri true

change_names –rule verilog –hier

write –f verilog –hier –out mapped/TOP.v

write –f ddc –hier –out mapped/TOP.ddc

write_sdc TOP.sdc

write_scan_def –out TOP_scan.def

write_physical_constraints –output TOP_PhysConstr.tcl exit

Object Retrieval and Manipulation (Collection Commands) get_ports, get_pins, get_designs

get_cells, get_nets, get_clocks

get_nets –of_objects [get_pins FF1_reg/Q]

get_libs

get_lib_cells

get_lib_pins

all_inputs, all_outputs, all_clocks, all_registers

all_connected

all_fanin, all_fanout

all_ideal_nets

set pci_ports [get_ports pci_*]

echo $pci_ports # à _sel184

query_objects $pci_ports # à {pci_1 pci_2 ...}

get_object_name $pci_ports # à pci_1 pci_2 ...

sizeof_collection $pci_ports # à 37

set pci_ports [add_to_collection $pci_ports \

[get_ports CTRL*]]

set all_inputs_except_clk [remove_from_collection \

[all_inputs] [get_ports CLK]]

compare_collections

index_collection

sort_collection

foreach_in_collection my_cells [get_cells -hier * \

-filter “is_hierarchical == true”] {

echo “Instance [get_object_name $cell] is hierarchical”

}

# Filtering operators: ==, !=, >, <, >=, <=, =~, !~

filter_collection [get_cells *] “ref_name =~ AN*”

get_cells * -filter “dont_touch == true”

get_clocks * -filter “period < 10”

# List all cell attributes and redirect output to a file

redirect –file cell_attr \

{list_attributes –application –class cell}

# Grep the file for cell attributes starting with dont_

UNIX% grep dont_ cell_attr | more

# List the value of the attribute dont_touch

get_attribute dont_touch

Ultra Compile Flow - Topographical or WLM Mode

Ultra + DesignWare and DFTC licenses available

# In “topo” mode (dc_shell-t –topo) specify Milkyway reference and design libraries create_mw_lib –tech -mw_reference_library \

open_mw_lib

set_tlu_plus_files -max_tluplus -tech2itf_map

set compile_auto_ungroup_delay_num_cells 99999999

set compile_auto_ungroup_count_leaf_cells true

set compile_auto_ungroup_override_wlm true

set_ungroup false

# OPTIONAL: Disable unconditional auto-ungrouping

# of DesignWare hierarchy (not usually recommended)

set compile_ultra_ungroup_dw false

# If design contains pipelined sub-designs and the pipeline registers

# are grouped together at the input or output, relax timing

set_multicycle_path –setup <#_stages> -from U_Pipeline/R3_reg* –to U_Pipeline/R7_reg* # In “ topo” mode, if the floorplan is available, apply or extract the physical constraints source OR

extract_physical_constraints

# OPTIONAL: Exclude specific cells/design from adaptive retiming (-retime)

set_dont_retime true

# First compile

compile_ultra –scan –retime –timing|-area

# The design hierarchy may have changed due to auto-ungrouping/-uniquifying

reset_path -from U_Pipeline/R3_reg* -to U_Pipeline/R7_reg*

# OPTIONAL: Maintain registered pipeline outputs if required

set_dont_touch [get_cells U_Pipeline/R12_reg*] true

# Optimize registers if pipeline violates timing; Skip if no pipeline issues:

set_optimize_registers true –design My_Pipeline_Subdesign

optimize_registers –only_attributed_designs

# Continue if design is NOT meeting all constraints:

# Apply more focus on violating critical paths, as necessary

group_path –name -from -to \

–critical range <10% of max delay goal> -weight 5

# Select appropriate second compile

# In “topo” mode in DC v2006.06, or any mode in DC v2007.03 or later

compile_ultra –scan –incremental

# In “WLM” mode in DC v2006.06

set_ultra_optimization true

compile –boundary –scan –map_effort high –incremental (-area_effort medium|low|none) # In “topo” mode prior to DC v2007.03: Write out updated physical constraints

write_physical_constraints –output PhysConstr.tcl

DFT Flow – Expert or Ultra

DFTC license available

# Prior to the first compile set the

# scan cell style

set_scan_configuration –style ..

# Perform the first test-ready compile

compile –boundary –map high –scan # OR

compile_ultra –timing -scan

# Continue before the next compile:

# Read in the scan specification file

source scan_spec.tcl

# Check for DFT rule violations

dft_drc

# Preview the scan chains

preview_dft

# Insert and optimize scan

insert_dft

******************************************************

* *

* Execute additional Ultra/Expert optimization *

* techniques, as needed *

* *

******************************************************

# After the final compile check the DFT QoR

# and write out the scan DEF file

dft_drc –coverage_estimate

write_scan_def -out

Some Scan Specification Commands

set_scan_state test_ready

set_dft_configuration ...

set_dft_signal ...

set_scan_path ...

set_scan_configuration ...

create_test_protocol

Expert Compile Flow

No Ultra license available; DFTC license available

compile –boundary –scan –map_effort high

# Continue if NOT meeting constraints

# Note: -scan requires DFTC license

compile –boundary –scan –map_effort high –incremental \

(-area_effort medium|low|none)

# Continue if NOT meeting constraints:

# Increase max-delay priority if OK to postpone DRC fixing

set_cost_priority –delay

# Note: The design hierarchy may have changed due to auto-

# uniquifying

# Apply more focus on violating critical paths, as necessary group_path –name -from \

-to –critical range <10% of max delay goal> -weight 5 # Repartition if design is poorly partitioned

group –design -cell_name \ {U2 U7 ...}

ungroup –start_level 2 U_NEW_CELL

compile –boundary –scan –map_effort high –incremental \

(-area_effort medium|low|none)

# Or, can ungroup all hierarchy in lieu of group/ungroup

# commands with –ungroup_all

compile –boundary –scan –map_effort high –incremental \

(-area_effort medium|low|none) –ungroup_all

常用的系统状态查询命令

常用的系统状态查询命令 # lsdev –C –s scsi 列出各个SCSI设备的所有相关信息:如逻辑单元号,硬件地址及设备文件名等。 # ps -ef 列出正在运行的所有进程的各种信息:如进程号及进程名等。 ps aux查看进程信息 # netstat -rn 列出网卡状态及路由信息等。 # netstat -in 列出网卡状态及网络配置信息。 # df -k 列出已加载的逻辑卷及其大小信息。 #top 查看系统应用信息,如CPU、内存使用率。按u,输入用户名则可监视用户;按k然后输入特定进程PID可关闭此进程,输入信号代码15关闭进程,输入信号代码9强行关闭。 # mount 列出已加载的逻辑卷及其加载位置。 # ntsysv 选择启动服务 # uname -a 列出系统ID 号,系统名称,OS版本等信息。 # hostname 列出系统网络名称。 # lsvg –l rootvg,lsvg –p rootvg 显示逻辑卷组信息,如包含哪些物理盘及逻辑卷等。 # lslv –l datalv,lslv –p datalv 显示逻辑卷各种信息,如包含哪些盘,是否有镜像等。 八网络故障定位方法 网络不通的诊断过程: ifconfig 查看网卡是否启动 (up) netstat –i 查看网卡状态 Ierrs/Ipkts 和 Oerrs/Opkts是否>1% ping自己网卡地址 (ip 地址) ping其它机器地址,如不通,在其机器上用diag检测网卡是否有问题。 在同一网中, subnetmask 应一致。 网络配置的基本方法: (1) 如需修改网络地址、主机名等,一定要用 chdev 命令 # chdev –l inet0 –a hostname=myhost # chdev -l en0 -a netaddr='9.3.240.58' -a netmask=255.255.255.0’ (2) 查看网卡状态:# lsdev –Cc if

电脑命令提示符大全

winver 检查Windows版本 wmimgmt.msc 打开Windows管理体系结构(wmi) wupdmgr Windows更新程序 wscript Windows脚本宿主设置 write 写字板 winmsd 系统信息 wiaacmgr 扫描仪和照相机向导 winchat xp自带局域网聊天 mem.exe 显示内存使用情况 msconfig.exe 系统配置实用程序 mplayer2 简易widnows media player mspaint 画图板 mstsc 远程桌面连接 mplayer2 媒体播放机 magnify 放大镜实用程序 mmc 打开控制台 mobsync 同步命令 dxdiag 检查directx信息 drwtsn32 系统医生 devmgmt.msc 设备管理器 dfrg.msc 磁盘碎片整理程序 diskmgmt.msc 磁盘管理实用程序 dcomcnfg 打开系统组件服务 ddeshare 打开dde共享设置

dvdplay dvd播放器 net stop messenger 停止信使服务 net start messenger 开始信使服务 notepad 打开记事本 nslookup 网络管理的工具向导 ntbackup 系统备份和还原 narrator 屏幕“讲述人” ntmsmgr.msc 移动存储管理器 ntmsoprq.msc 移动存储管理员操作请求 netstat -an (tc)命令检查接口 syncapp 创建一个公文包 sysedit 系统配置编辑器 sigverif 文件签名验证程序 sndrec32 录音机 shrpubw 创建共享文件夹 secpol.msc 本地安全策略 syskey 系统加密,一旦加密就不能解开,保护Windows xp系统的双重密码services.msc 本地服务设置 sndvol32 音量控制程序 sfc.exe 系统文件检查器 sfc /scannow windows文件保护 tsshutdn 60秒倒计时关机命令 tourstart xp简介(安装完成后出现的漫游xp程序) taskmgr 任务管理器 eventvwr 事件查看器

CRT常用命令

SecureCRT 常用命令 2010年4月26日 | 分类: Linux | 标签: SecureCRT 常用命令: 一、ls 只列出文件名(相当于dir,dir也可以使用) -A:列出所有文件,包含隐藏文件。 -l:列表形式,包含文件的绝大部分属性。 -R:递归显示。 –help:此命令的帮助。 二、cd 改变目录 cd /:进入根目录 cd :回到自己的目录(用户不同则目录也不同,root为/root,xxt为/home/xxt cd ..:回到上级目录 pwd:显示当前所在的目录 三.less 文件名:查看文件内容。 四.q 退出打开的文件。 五.上传文件: rz 选择要传送的文件,确定。 六.下载文件: sz 指定文件名,enter敲,即下载到了secureCRT/download目录下。 七:删除文件: rm 删除文件,rmdir 删除空目录。 八.显示最近输入的20条命令:history 20 九.获得帮助命令–help查看命令下详细参数:如:rz –help , sz –help 。 十.cd 进入某个文件夹的命令: mkdir+文件夹名创建某个文件夹的命令 sz+文件名从服务器端向本机发送文件的命令 rz 从本机向服务器端传送文件的命令 ll 列出当前目录下的所有文件,包括每个文件的详细信息 dir 对当前文件夹 vi 打开当前文件 十一.在编辑某个文件的时候: a 切换到编辑模式 ctrl+c 退出编辑模式

dd 删除整行 :q 退出当前文件 :w 写入并保存当前文件 -f 强行xx的参数。。。 其它命令: 1.ps -ef //查看server的进程,以列表形式显示的server进程。 ps 显示当前在系统运行的进程 /usr/bin/ps [选项] -e 显示每个现在运行的进程 -f 生成一个完全的列表 实际操作: ————————————– 1 SSH客户端连接到10.5.1.55系统(参见《启动远程客户端说明SecureCRT.doc》) $ cd /home/bea2/user_projects/csdomain/bin 2 查看weblogic92服务进程 $ ps -eaf | grep weblogic bea2 327926 331940 0 13:08:45 pts/4 0:00 grep weblogic webadmin 421908 368956 0 Sep 24 - 4:13 /usr/java5_64/bin/java -Xms256m -Xmx512m -da -Dplatform.home=/home/weblogic/bea/weblogic92 -Dwls.home=/home/weblogic/bea/weblogic92/server -Dwli.home=/home/weblogic/bea/weblogic92/integration -Dweblogic.management.discover=true -Dwlw.iterativeDev=false -Dwlw.testConsole=false -Dwlw.logErrorsToConsole= -Dweblogic.ext.dirs=/home/weblogic/bea/patch_weblogic923/profiles/de fault/sysext_manifest_classpath https://www.wendangku.net/doc/a34653510.html,=AdminServer -Djava.security.policy=/home/weblogic/bea/weblogic92/server/lib/webl ogic.policy weblogic.Server bea2 491796 385044 17 00:12:50 pts/6 182:55 /usr/java5_64/bin/java -Xms6g -Xmx8g -javaagent:/home/bea2/user_projects/csdomain/Introscope/wily/Agent.j ar -Dcom.wily.introscope.agentProfile=/home/bea2/user_projects/csdomain /Introscope/wily/IntroscopeAgent.profile -Dcom.wily.introscope.agent.agentName=AdminServer -da -Dplatform.home=/home/weblogic/bea/weblogic92 -Dwls.home=/home/weblogic/bea/weblogic92/server -Dwli.home=/home/weblogic/bea/weblogic92/integration

UNIX系统常用命令

UNIX系统常用命令 UNIX系统常用命令格式: command [flags] [argument1] [argument2] ... 其中flags以-开始,多个flags可用一个-连起来,如ls -l -a 与ls -la相同。 根据命令的不同,参数分为可选的或必须的;所有的命令从标准输入接受输入,输出结果显示在标准输出,而错误信息则显示在标准错误输出设备。可使用重定向功能对这些设备进行重定向。 命令在正常执行结果后返回一个0值,如果命令出错可未完全完成,则返回一个 非零值(在shell中可用变量$?查看). 在shell script中可用此返回值作为控制逻辑的一部分。 注:不同的UNIX版本的flags可能有所不同。 1、与用户相关的命令 1.1 login (在LINUX Redhat下此命令功能与Solaris/BSD不同,执行login会退出当前任务). login: Password: 相关文件: 在下面的这些文件中设定shell运行时必要的路径,终端类型,其他变量或特殊程序. $HOME/.profile (Bourne shell, sh, bash) $HOME/.cshrc (csh, tcsh) $HOME/.tcshrc (tcsh) /etc/passwd文件中列出每个用户的shell /etc/csh.cshrc /etc/csh.login /etc/profile (Bourne shell, bash) /etc/login (Bourne shell, bash) csh: /etc/csh.cshrc和$HOME/.cshrc每次执行都会读取, 而/etc/csh.login和$HOME/.login只有注册shell才执行 修改相应文件后使用 source .cshrc使能相关修改,如果修改了path则 还需使用rehash刷新可执行文件hash表。 tcsh: $HOME/.tcshrc, 没有些文件读取.cshrc sh: /etc/profile和$HOME/.profile注册shell bash: /etc/profile和$HOME/.bash_profile注册shell读取 .bashrc交互式非注册shell才读取。

命令提示符用法

“命令提示符”也就是Windows 95/98 下的“MS-DOS 方式”,虽然随着计算机产业的发展,Windows 操作系统的应用越来越广泛,DOS 面临着被淘汰的命运,但是因为它运行安全、稳定,有的用户还在使用,所以一般Windows 的各种版本都与其兼容,用户可以在Windows 系统下运行DOS,中文版Windows XP 中的命令提示符进一步提高了与DOS 下操作命令的兼容性,用户可以在命令提示符直接输入中文调用文件。 DOS命令提示符 DOS基础应用.有兴趣的可以来看看了 cd 改变当前目录sys 制作DOS系统盘 copy 拷贝文件del 删除文件 deltree 删除目录树dir 列文件名 diskcopy 制磁盘edit 文本编辑 format 格式化磁盘md 建立子目录 mem 查看内存状况type 显示文件内容 rd 删除目录ren 改变文件名 记得多少啊,忘了就去上课看看,下面四个命令是新的,给出命令格式,你自己试试看,学电脑重要的就是摸索。 cls 清屏 〔适用场合〕屏幕上太乱了,或是屏幕上出现乱码了,清除屏幕上显示内容但不影响电脑内部任何信息 〔用法〕cls 回车 move 移动文件,改目录名 〔适用场合〕移动文件到别的目录 〔用法〕move [文件名] [目录] 移动文件至新目录下 move [目录名] [目录名] 改目录名 〔例子〕c:\>move c:\autoexec.bat c:\old??

移动autoexec.bat文件至old目录下 c:\>move c:\config.sys c:\old?? 移动config.sys文件至old目录下 more 分屏显示 〔适用场合〕当输出很多一屏显示不下时采用,几乎适合所有命令,尤其是type等命令时很有用。使用more时磁盘不能有写保护,也不适合光驱。 〔用法〕type [文件名] | more 分屏显示文件内容 more < [文件名] 分屏显示文件内容 〔例子〕C:\>type msdos.w40 | more xcopy 拷贝目录和文件 〔适用场合〕在进行连同子目录一起拷贝时很有用,在拷贝大量文件时比COPY命令要快得多 〔用法〕xcopy [文件名] [目录] 将指定文件拷贝到指定目录 xcopy [源目录] [目的目录] 将源目录连子目录考到目的目录下 xcopy *.* [目录] /s 将文件与非空子目录拷贝到指定目录 其它常用参数还有: v 拷贝后校验,会影响速度 e 与s 相似,但即使子目录是空的也会拷贝。 help 帮助 〔适用场合〕当您想具体了解DOS命令的使用方法时使用 〔用法〕help 提供所有DOS命令帮助 help [DOS命令] 提供有关命令的帮助 如果你只大致记得某个命令,可以在提示符后直接输入help命令,然后将出现下面的画面: attrib 设置文件属性

最常用的Shell命令

Shell命令行操作 Linux shell 简介 Linux shell指的是一种程序,有了它,用户就能通过键盘输入指令来操作计算机了。Shell会执行用户输入的命令,并且在显示器上显示执行结果。这种交互的全过程都是基于文本的,与其他各章介绍的图形化操作不同。这种面向命令行的用户界面被称为CLI(Command Line interface)。在图形化用户界面(GUI)出现之前,人们一直是通过命令行界面来操作计算机的。 现在,基于图形界面的工具越来越多,许多工作都不必使用Shell就可以完成了。然而,专业的Linux用户认为Shell是一个非常有用的工具,学习Linux时一定要学习Shell,至少要掌握一些基础知识和基本的命令 启动shell 在启动Linux桌面系统后,Shell已经在后台运行起来了,但并没有显示出来。如果想让它显示出来, 按如下的组合键就可以: + + 组合键中的F2可以替换为 F3、F 4、F 5、F6。 如果要回到图形界面,则按如下组合键: + + 另外,在图形桌面环境下运行“系统终端”也可以执行Shell命令,与用组合键切换出来的命令行界面

是等效的。“系统终端”启动后是一个命令行操作窗口,可以随时放大缩小,随时关闭,比较方便,推荐使用。启动“系统终端”的方法是: 【开始】→【应用程序】→【附件】→【系统终端】 该软件允许建立多个Shell客户端,它们相互独立,可以通过标签 在彼此之间进行切换。 Shell命令基本规则 一般格式 Shell命令的一般格式如下: 命令名【选项】【参数1】【参数2】... 【选项】是对命令的特别定义,以减号(-)开始,多个选项可以用一个减号(-)连起来,如ls -l -a与 ls -la 相同。 【参数】提供命令运行的信息,或者是命令执行过程中所使用的文件名。 使用分号(可以将两个命令隔开,这样可以实现一行中输入多个命令。命令的执行顺序和输入的顺序 相同。 命令补全 在送入命令的任何时刻,可以按键,当这样做时,系统将试图补全此时已输入的命令。如果已 经输入的字符串不足以唯一地确定它应该使用的命令,系统将发出警告声。再次按键,系统则会给出可用来补全的字符串清单。使用命令补全功能,可以提高使用长命令或操作较长名字的文件或文件夹的都是非常有意义的。

cmd常用命令大全

windows XP cmd命令大全 一,ping 它是用来检查网络是否通畅或者网络连接速度的命令。作为一个生活在网络上的管理员或者黑客来说,ping命令是第一个必须掌握的DOS命令,它所利用的原理是这样的:网络上的机器都有唯一确定的IP地址,我们给目标IP地址发送一个数据包,对方就要返回一个同样大小的数据包,根据返回的数据包我们可以确定目标主机的存在,可以初步判断目标主机的操作系统等。下面就来看看它的一些常用的操作。先看看帮助吧,在DOS窗口中键入:ping /? 回车,。所示的帮助画面。在此,我们只掌握一些基本的很有用的参数就可以了(下同)。 -t 表示将不间断向目标IP发送数据包,直到我们强迫其停止。试想,如果你使用100M 的宽带接入,而目标IP是56K的小猫,那么要不了多久,目标IP就因为承受不了这么多的数据而掉线,呵呵,一次攻击就这么简单的实现了。 -l 定义发送数据包的大小,默认为32字节,我们利用它可以最大定义到65500字节。结合上面介绍的-t参数一起使用,会有更好的效果哦。 -n 定义向目标IP发送数据包的次数,默认为3次。如果网络速度比较慢,3次对我们来说也浪费了不少时间,因为现在我们的目的仅仅是判断目标IP是否存在,那么就定义为一次吧。 说明一下,如果-t 参数和 -n参数一起使用,ping命令就以放在后面的参数为标准,比如"ping IP -t -n 3",虽然使用了-t参数,但并不是一直ping下去,而是只ping 3次。另外,ping命令不一定非得ping IP,也可以直接ping主机域名,这样就可以得到主机的IP。 下面我们举个例子来说明一下具体用法。 这里time=2表示从发出数据包到接受到返回数据包所用的时间是2秒,从这里可以判断网络连接速度的大小。从TTL的返回值可以初步判断被ping主机的操作系统,之所以说"初步判断"是因为这个值是可以修改的。这里TTL=32表示操作系统可能是win98。 (小知识:如果TTL=128,则表示目标主机可能是Win2000;如果TTL=250,则目标主机可能是Unix) 至于利用ping命令可以快速查找局域网故障,可以快速搜索最快的QQ服务器,可以对

SIPp脚本编写方法基础m

SIPp脚本编写方法基础

目录 SIPp脚本编写方法入门 (1) 1. 脚本格式 (3) 1.1.基于XML进行扩展 (3) 1.2.DTD扩展语法规则 (3) 1.3.脚本结构 (3) 1.4.注释 (5) 2. 脚本类型 (5) 2.1.UAC (5) 2.2.UAS (5) 2.3.3PCC(三方通话) (6) 2.4.OCC(Out-of-call) (6) 3. 命令与属性 (6) 3.1.常用命令 (6) 3.2.常用属性列表 (8) 3.3.正则表达式 (10) 4. 变量与关键字 (11) 4.1.关键字的使用 (11) 4.2.变量定义与使用 (13) 4.3.鉴权 (15) 5. 分支和跳转 (16) 5.1.标签 (16) 5.2.条件判断 (16) 5.3.跳转和循环 (17) 5.4.概率分支 (18) 6. 文件引用 (19) 6.1.外部文件格式 (19) 6.2.引用方法 (20) 6.3.文件索引 (20) 7. 脚本中的命令操作 (21) 7.1.内部命令 (21) 7.2.外部命令 (21) 7.3.媒体命令 (21) 8. 附录 (23) 修订记录 (24)

1.脚本格式 1.1.基于XML进行扩展 SIPp的测试脚本遵循标准的XML V1.0版本的语法规范,XML即“可扩展标记语言”eXtensible Markup Language 的缩写,W3C组织与1998年发布XML 1.0规范。 1.2.DTD扩展语法规则 SIPp的执行目录中,存在一个sipp.dtd文件。该文件为标准的xml扩展语法规则,在该文件中,对send、recv、pause等元素增加了定义,包括其属性列表等内容,可作为脚本文件格式的校验。 1.3.脚本结构 一个标准的SIPp脚本,文件起始应为通用的xml前导区和DTD文件定义区如图所示: 接下来使用包括的部分,即为脚本的正文部分。 sipp脚本正文部分,包含如下几个区域: 1.初始化区 在初始化区域中,通常用来进行全局变量的定义和赋值等操作,在脚本未进行逻辑流程前,预先完成初始化动作。 初始化区是在脚本正文的最开始,通过使用命令,并在其之间插入一些

SAP系统常用命令介绍

SAP系统常用命令介绍 1、系统配置常用命令 所谓系统配置命令,通常包含系统操作配置、系统传输配置、系统自定义内容配置等相关命令。系统配置的范围很广,这里介绍的系统配置不包括模块配置内容,主要是系统层面的相关配置命令。常用的操作命令主要包含以下几种。 (1)系统传输配置命令:SE09/SE10、STMS (2)系统后台参数配置命令:SPRO (3)系统信息发布命令:SM02 (4)目标集团参数配置命令:SCC4 2、后台维护常用命令 在SAP系统中,普通用户常常因为权限不够导致很多事项无法处理,需要通过管理员在后台对相应的主数据及参数进行修改设置。这里主要介绍以下几个常用的后台维护命令。 (1)批处理命令:SCAT (2)定义后台作业命令:SM36 (3)查看后台作业命令:SM37 3、程序编辑常用命令 程序编辑属于SAP系统开发的一个重要组成部分,SAP系统本身带有ABAP语言编辑器,可以提供强大的自开发程序功能。这里介绍程序编辑通常使用的相关命令。一般来说,程序编辑常用到的命令有以下3各。 (1)程序编辑器命令:SE38 (2)韩式编辑器命令:SE37 (3)对象浏览器命令:SE80 4、表间维护常用命令: (1)SAP系统中的数据都是存储在不同的表空间中。对于这些表的查询、修改及数据整理,SAP提供有相应的操作命令。常用的表间维护命令主要包括以下几种。 (1)ABAP数据字典命令:SE11 (2)维护表视图命令:SM30 5、用户及权限控制常用命令 在SAP系统中对于用户及权限的控制是非常严格的,权限参数、权限、用户的管理,均有一套专有的体系。这里介绍用户及权限控制常用的命令,包括以下几种。 (1)权限创建及修改命令:PFCG (2)用户创建及配置命令:SU01 (3)用户批量处理命令:SU10

网络常用命令提示符(cmd)语句

网络常用命令提示符(cmd)语句 1.最基本,最常用的,测试物理网络的 ping 192.168.10.88 -t ,参数-t是等待用户去中断测试 2.查看DNS、IP、Mac等 A.Win98:winipcfg B.Win2000以上:Ipconfig/all C.NSLOOKUP:如查看河北的DNS C:\>nslookup Default Server: https://www.wendangku.net/doc/a34653510.html, Address: 202.99.160.68 >server 202.99.41.2 则将DNS改为了41.2 > https://www.wendangku.net/doc/a34653510.html, Server: https://www.wendangku.net/doc/a34653510.html, Address: 202.99.160.68 Non-authoritative answer: Name: https://www.wendangku.net/doc/a34653510.html, Address: 202.99.160.212 3.网络信使 Net send 计算机名/IP|* (广播) 传送内容,注意不能跨网段 net stop messenger 停止信使服务,也可以在面板-服务修改 net start messenger 开始信使服务 4.探测对方对方计算机名,所在的组、域及当前用户名 ping -a IP -t ,只显示NetBios名 nbtstat -a 192.168.10.146 比较全的 https://www.wendangku.net/doc/a34653510.html,stat -a 显示出你的计算机当前所开放的所有端口 netstat -s -e 比较详细的显示你的网络资料,包括TCP、UDP、ICMP 和 IP的统计等

6.探测arp绑定(动态和静态)列表,显示所有连接了我的计算机,显示对方IP和MAC地址 arp -a 7.在代理服务器端 捆绑IP和MAC地址,解决局域网内盗用IP: ARP -s 192.168.10.59 00-50-ff-6c-08-75 解除网卡的IP与MAC地址的绑定: arp -d 网卡IP 8.在网络邻居上隐藏你的计算机 net config server /hidden:yes net config server /hidden:no 则为开启 9.几个net命令 A.显示当前工作组服务器列表 net view,当不带选项使用本命令时,它就会显示当前域或网络上的计算机上的列表。 比如:查看这个IP上的共享资源,就可以 C:\>net view 192.168.10.8 在 192.168.10.8 的共享资源 资源共享名类型用途注释 -------------------------------------- 网站服务 Disk 命令成功完成。 B.查看计算机上的用户帐号列表 net user C.查看网络链接 net use 例如:net use z: \\192.168.10.8\movie 将这个IP的movie共享目录映射为本地的Z盘 D.记录链接 net session 例如: C:\>net session 计算机用户名客户类型打开空闲时间

常用LINUX命令及脚本

常用LINUX命令及shell脚本 说明:本文档介绍的命令只说明比较有用的参数,要查看命令详情请‘MAN‘ 第一部分常用LINUX命令 (1) 1,基础命令 (1) 2,系统性能情况查看命令 (5) 3,网络命令 (6) 4,日志处理 (7) 5,其它命令 (9) 第二部分ftp命令说明 (9) 第三部分shell脚本 (10) 1批量创建目录 (10) 2,过滤出日志中昨天产生的线程挂起日志及详情代码 (11) 第一部分常用LINUX命令 1,基础命令 who 用于查看当前在线上的用户情况 参数: -m 显示当前用户名及详情 -q 显示用户的登陆帐号和登陆用户的数量 w 显示目前登入系统的用户信息 参数: -f 开启显示用户从何处登入系统 范例: was@linux-was:/tmp> w -f 00:55:11 up 48 min, 1 user, load average: 0.00, 0.02, 1.86 USER TTY FROM LOGIN@ IDLE JCPU PCPU WHAT was pts/0 192.168.10.2 00:30 0.00s 0.84s 0.00s w –f JCPU指的是与该tty终端连接的所由进程占用的时间,不包括过去的后台作业时间;PCPU 指

的是当前进程(即w项中显示的)所占用的时间 id 查看显示目前登陆账户的uid和gid及所属分组及用户名 linux-was:~ # id uid=0(root) gid=0(root) groups=0(root) was@linux-was:/tmp> id uid=1000(was) gid=100(users) groups=16(dialout),33(video),100(users) hostname 显示当前主机名 ls 显示当前文件和目录 参数: -l 列出文件的详细信息 -a 列出目录下的所有文件,包括以 . 开头的隐含文件 -t 以时间排序 -r 对目录反向排序 -S 按文件大小排序 同时此命令也可查看文件或目录权限 pwd 查看”当前工作目录“的完整路径 当你在终端进行操作时,你都会有一个当前工作目录。 在不太确定当前位置时,就会使用pwd来判定当前目录在文件系统内的确切位置 参数: -P 输出物理路径,针对链接的参数 cd 进入特定的目录 参数: /指定目录进入指定的目录 .. 返回上一级目录 touch 创建文件 mkdir 创建目录 参数: -p 此时若路径中的某些目录尚不存在,加上此选项后,系统将自动建立好那些尚不存在的目录,即一次可以建立多个目录

命令提示符大全

cd 改变当前目录 cls 清屏 copy 拷贝文件 del 删除文件 deltree 删除目录树 dir 列文件名 diskcopy 复制磁盘 edit 文本编辑 format 格式化磁盘 md 建立子目录 mem 查看内存状况 more 分屏显示 move 移动文件、改目录名rd 删除目录 ren 改变文件名 type 显示文件内容 sys 制作DOS系统盘 xcopy 拷贝目录与文件attrib 设置文件属性chkdsk 检查磁盘 date 显示及修改日期defrag 磁盘碎片整理doskey 重新调用DOS命令emm386 扩展内存管理 fdisk 硬盘分区 help 帮助 label 设置卷标号 lh 将程序装入高端内存memmaker内存优化管理 msd 系统检测 path 设置搜寻目录 prempt 设置提示符 restore 恢复备份文件 time 显示及修改时间scandisk检测、修理磁盘set 设置环境变量 smartdrv设置磁盘加速器undelete恢复被删的文件 不常用 append 设置非执行文件路径debug 随机调试程序diskcomp磁盘比较

expand 还原DOS文件 fasthelp快速显示帮助信息 fc 文件比较 interink启动服务器 intersvr启动客户机 qbasic Basic集成环境 setver 设置版本 share 文件共享 subst 路径替换 tree 列目录树 unformat恢复已格式化的磁盘 vsafe 防病毒 ver 显示DOS版本号 vol 显示磁盘卷标号 ctty 改变控制设备 DOS常用命令详解 dir 列文件名 deltree 删除目录树 cls 清屏 cd 改变当前目录 copy 拷贝文件 diskcopy 复制磁盘 del 删除文件 format 格式化磁盘 edit 文本编辑 mem 查看内存状况 md 建立子目录 move 移动文件、改目录名 more 分屏显示 type 显示文件内容 rd 删除目录 sys 制作DOS系统盘 ren 改变文件名 xcopy 拷贝目录与文件 chkdsk 检查磁盘 attrib 设置文件属性 fdisk 硬盘分区 date 显示及修改日期 label 设置卷标号 defrag 磁盘碎片整理 msd 系统检测 path 设置搜寻目录 share 文件共享 memmaker内存优化管理 help 帮助 restore 恢复备份文件 set 设置环境变量 time 显示及修改时间 tree 列目录树 debug 随机调试程序 doskey 重新调用DOS命令 prempt 设置提示符undelete恢复被删的文件 scandisk检测、修理磁盘 不常用DOS命令 diskcomp磁盘比较 append 设置非执行文件路径 expand 还原DOS文件 fasthelp快速显示帮助信息 fc 文件比较 interink启动服务器 setver 设置版本 intersvr启动客户机 subst 路径替换 qbasic Basic集成环境 vsafe 防病毒 unformat恢复已格式化的磁盘 ver 显示DOS版本号 smartdrv设置磁盘加速器 vol 显示磁盘卷标号 lh 将程序装入高端内存 ctty 改变控制设备 emm386 扩展内存管理 常用命令具体介绍: 一、Dir 显示目录文件和子目录列表,呵呵,这个当然是人人要知道的。 可以使用通配符(? 和 *),?表通配一个字符,*表通配任意字符 *.后缀

Windows操作系统常用命令与蓝屏代码

Windows操作系统常用命令及蓝屏代码 一域控管理工具 1 dcpromo------- 安装域控制器 2 dsa.msc-------打开AD用户和计算机 3 dssite.msc-------打开AD站点和服务 4 domain.msc-------打开AD域和信任关系 5 dnsmgmt.msc-------打开DNS服务器 6 services.msc------- 打开服务 7 MMC-------(管理控制台) 8 compmgmt.msc------- 计算机管理控制台 9 devmgmt.msc------- 设备管理器控制台 10 diskmgmt.msc------- 磁盘管理器控制台 11 eventvwr.msc------- 日志管理器控制台 12 fsmgmt.msc------- 共享文件夹控制台 13 gpedit.msc------- 组策略管理控制台 14 iis6.msc iis-------管理控制台 15 lusrmgr.msc------- 本地账户管理控制台 16 napclcfg.msc------- NAP管理控制台 17 printmanagement.msc------- 打印管理控制台 18 rsop.msc------- 组策略结果集控制台 19 wf.msc------- 防火墙管理控制台 20 lusrmgr.msc------- 本机用户和组 21 devmgmt.msc-------设备管理器 22 rsop.msc-------组策略结果集 23 secpol.msc-------本地安全策略 24 services.msc-------本地服务设置

常用命令符

淮海工学院计算机工程学院实验报告书 课程名:计算机网络 题目:实验2 网络常用命令 班级:网络132 学号: 姓名:

一.实验目的与要求 掌握常用的网络命令,了解网络的运行状态; 对网络进行简单的测试、分析和诊断。 二.实验内容 网络层常用命令:ping,ipconfig,arp,route,tracert 统计命令:netstat 1. Ping命令——网络连通性测试 (1)物理层的任务是什么? 答:物理层为设备之间的数据通信提供传输媒体及互连设备,为数据传输提供可靠的环境。 (2)网络中常用的传输媒体有哪些? 答:双绞线,同轴电缆,光纤。 (3)媒体中数据传输速率取决于哪些因素? 答:传输介质的选用,通讯线路中的光传输模块及物理层芯片所能支持的带宽。 (4)模拟信道为何能传送数字数据? 答:使用调制解调器来"翻译"两种不同的信号 (5)为什么一些传输媒体能同时传送多道信号? 答:信道复用技术 Ping命令的使用方法 Ping 主机地址或域名 Ping 主机地址或域名–t Ping 主机地址或域名–l 长度 Ping 主机地址或域名–c 次数 使用Ping命令检测网络故障 Ping 本机地址 Ping 网关地址 Ping 远程地址in IPConfig的使用方法 IPConfig IPConfig /all IPConfig /release:释放动态IP地址 IPConfig /renew:重新分配IP地址 IPConfig /displaydns:显示本机访问站点的域名与IP地址的解析表 ARP命令 Arp –a 或–g:显示ARP缓存中的解析记录 Arp –a IP地址:显示指定IP地址的解析记录 Arp –s IP地址物理地址:在ARP缓存中增加静态解析记录 Arp –d IP地址:删除指定IP地址的解析记录 Route命令 Route print:显示主机中的路由表

常用shell脚本指令

常用shell脚本命令 1、显示包含文字aaa的下一行的内容: sed -n '/aaa/{n;p;}' filename 2、删除当前行与下一行的内容: sed -i '/aaa/{N;d;}' filename 3、删除当前行与下两行的内容: sed -i '/aaa/{N;N;d;}' filename 依次类推,删除三行,则为{N;N;N;d;},分析知:N为next 4、得出以空格为分割的字符串中单词的个数,即统计个数: awk ' { print NF } ' 如显示字符串VALUE中的单词个数,其中VALUE为:aaa bbb ccc ddd ee f 则执行 echo $VALUE | awk ' { print NF } ' 后的结果为6 5、在linux中建立一个文件与另一文件的链接,即符号链接 ln -s /var/named/chroot/etc/named.conf named.conf 这要就建立了当前目录的文件named.conf对/var/named/chroot/etc/named.conf 的符号链接。即操作named.conf就意味着操作实际文件/var/named/chroot/etc/named.conf ,这时用ll命令查看的结果如: lrwxrwxrwx 1 root root 32 Mar 22 12:29 named.conf -> /var/named/chroot/etc/named.conf 注意:当用sed来通过named.conf来删除一部分信息时,会将符号链接的关系丢掉,即会将named.conf变成一个实际文件。所以需对实际文件进行删除操作。 6、显示指定字符范围内的内容: 如:显示文件test.txt中字符#test begin与#test end之间所有的字符 sed -n "/#test begin/,/#test end/p" test.txt 或 awk "/#test begin/,/#test end/" test.txt 在日常系统管理工作中,需要编写脚本来完成特定的功能,编写shell脚本是一个基本功了!在编写的过程中,掌握一些常用的技巧和语法就可以完成大部分功能了,也就是2/8原则. 1. 单引号和双引号的区别 单引号与双引号的最大不同在于双引号仍然可以引用变量的内容,但单引号内仅是普通字符,不会作变量的引用,直接输出字符窜。请看如下例子: [root@linux ~]# name=HaHa [root@linux ~]# echo $name HaHa [root@linux ~]# myname="$name is wow" [root@linux ~]# echo $myname HaHa is wow [root@linux ~]# myname='$name is wow'

Linux操作系统及常用命令

Linux提供了大量的命令,利用它可以有效地完成大量的工 作,如磁盘操作、文件存取、目录操作、进程管理、文件权限设定等。所以,在Linux系统上工作离不开使用系统提供的命令。 下面我将比较常用的命令,按照系统中的作用分成以下6个部分 安装和登录命令:shutdown、reboot、install、mount、umount、exit、last; 文件处理命令:file、mkdir、grep、find、mv、ls、diff、cat; 系统管理相关命令:df、top、free、adduser、groupadd、kill、crontab; 网络操作命令:ifconfig、ping、netstat、telnet、ftp、route、scp、finger; 系统安全相关命令:passwd、su、chmod、chown、sudo、ps、who; 其它命令:tar、unzip、unarj、man 安装与登陆命令 shutdown 1.作用 shutdown命令的作用是关闭计算机,它的使用权限是超级用户root。 2.格式 shutdown [-h][-i][-k][-m][-t] 3.重要参数 -t:在改变到其它运行级别之前,告诉init程序多久以后关机。 -k:并不真正关机,只是送警告信号给每位登录者。 -h:关机后关闭电源。 -c:cancel current process取消目前正在执行的关机程序。所以这个选项当然没有时间参数,但是可以输入一个用来解释的讯息,而这信息将会送到每位使用者。 -F:在重启计算机时强迫fsck。 -time:设定关机前的时间。 -m: 将系统改为单用户模式。 -i:关机时显示系统信息。 -r:重启。 4.实用例子 远程重启满天星服务器192.168.0.25 shutdown -m \\192.168.0.25 -r -f -t 0 远程重启兴通博服务器192.168.0.41 shutdown -m \\192.168.0.41 -r -f -t 0 reboot 1.作用 reboot命令的作用是重新启动计算机,它的使用权限是系统管理者root。 2.格式

常见系统命令

实验一常见系统命令 一、实验目的: 掌握系统常见命令的使用。 二、试验环境: (1)Windows XP、2003虚拟机。 三、试验要求: 每位同学在自己的虚拟机上完成以下操作,并截图做成实验报告,附上简要的操作步骤说明,实验结束后上传到FTP服务器。 四、实验内容 1、课本上14.1和14.2的全部实验内容。 2、以下补充实验 (1)以80字节大小的包不断ping目标主机 (2)以500字节大小的包ping5次目标主机 (3)根据自己的网络环境,追踪从A机到C机的数据包。 (4)在A机上建立到B机的IPC$连接。 (5)在A机上本地建立两个用户,并设立密码。 (6)在A机上远程查看B机的系统时间。 (7)将远程主机映射为本机主机的一个分区。 思考问题: 如何防止黑客远程从某个端口连接到主机? 补充实验参考: 四、实验内容:

1.1,netstat命令 1)使用netstat –an查看本地计算机所开放的端口信息 图1:netstat –an命令 2)使用netstat –a查看所有连接和监听端口 图2:netstat –a命令 3)使用netstat –b查看包含于每个连接或监听端口的可执行组件

图3:netstat –b命令 4)使用netstat –e查看以太网数据统计信息 图4:netstat –e命令 5)使用netstat –n查看以太网IP地址代替名称的网络连接情形 图5:netstat –n命令 6)使用netstat –o查看与每个连接相关的所属进程ID

图6:netstat –o命令 7)使用netstat –p pro查看pro指定协议的连接信息 图7:netstat –p -tcp命令 8)使用netstat –r查看路由表信息 图8:netstat -r命令 9)使用netstat –s查看每个协议的配置统计

相关文档