文档库 最新最全的文档下载
当前位置:文档库 › 多功能数字钟电路的设计与仿真_上海大学

多功能数字钟电路的设计与仿真_上海大学

多功能数字钟电路的设计与仿真_上海大学
多功能数字钟电路的设计与仿真_上海大学

电子技术课程设计报告——多功能数字钟电路的设计与仿真

上海大学机自学院自动化系

电气工程及其自动化专业

姓名:***

学号:******

指导老师:徐昱琳

2015年6月26日

一、任务及要求

用中小型规模集成电路设计一个多功能数字钟电路,在EDA软件上完场硬件系统的仿真。

多功能数字钟电路的技术指标如下:

①时间以24小时为一个周期;

②数值显示时、分、秒;

③有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;

④具有整点报时功能,当时间到达整点前5秒进行蜂鸣报时;

⑤具有闹钟功能,当时间到达预设的时间进行蜂鸣闹铃;

⑥为了保证计时的稳定及准确须由石英晶体振荡器提供时间基准信号。

二、数字钟介绍。

数字钟的构成:

数字式计时器应由秒发生装置、计秒,计分,计时部分、时间显示部分、时间校正和闹钟报时等几部分组成。所涉及的电子器件主要有振荡器、加法计数器、译码器、显示器、寄存器、比较器等。其中,振荡器组成标准秒信号发生器;由不同进制的计数器、译码器和显示器组成计时,显示系统;寄存器和比较器构成定点报时系统。其结构原理图如下:

三、详细的电路模块及电路设计过程如下:

(1)、秒脉冲器。秒脉冲器可以产生频率为1Hz的方波信号。其精确程度直接影响到电子钟计时的精确程度。实验要求使用石英晶体振荡器作为秒脉冲器,提供时间基准信号。

石英晶体构成的秒脉冲器结构图如下:

CD4060由一振荡器和14级二进制串行计数器位组成。其中的振荡器与石英电路构成石英振荡器,可产生频率为32768Hz=2^15Hz的方波信号。再通过14级二进制计数器分频。通过14次分频后可产生频率为2Hz的方波信号。再将2Hz 的方波信号通过D触发器进行分频,可获得频率为1Hz的秒脉冲信号。

74LS74芯片介绍:

74LS74是双路D 型上升沿触发器,带独立的数据(D)输入、时钟(CP)输入、设置(SD)和复位(RD)输入、以及互补的Q和Q输出。设置和复位为异步低电平有效,且不依赖于时钟输入。74LS74数据输入口的信息在时钟脉冲的上升沿传输到Q口。为了获得预想中的结果,D输入必须在时钟脉冲上升沿来临之前,保持稳定一段就绪时间。

仿真中可用74LS74中的D触发器来对

2Hz的方波信号进行分频以获得频率

为1Hz的秒脉冲信号。

最终的石英晶体秒脉冲电路如下图所示:

由于Mulitisim软件不能仿真4060的晶体振荡电路,无法生成秒脉冲。故仿

真电路中暂时以电压为5V,频率为1Hz的方波信号代替石英晶体振荡电路。实际中则使用上图中的石英晶体秒脉冲电路。

(2)、计时电路:

分和秒的计时电路由60进制的计数器构成,其中个位为十进制计数器;十位为六进制计数器。二者级联即可构成60进制计数器。

小时的计时电路由24进制,其中个位为十进制计数器,只不过十位为2时个位需要满四清零;十位为二进制计数器,实现方法是个位为4,十位为2时反馈清零。二者级联即可构成24进制计数器。

计数芯片选用74HC160。74HC160芯片的管脚与74HC161的管脚完全相同,不过160为10进制计数器,161位四位二进制即16进制计数器。相比之下160使用起来更简单。计数方法采用反馈清零法。

分秒计时电路如下:

其中IO1~8是状态输出端,接译码器;IO9是进位端,接下一个计时电路的CP端;IO10是初始CP输入端,接秒脉冲信号。

U2为十进制计数器,当个位计数到9时,RCO会输出一个高电平,个位清零时高电平立即消失,其余时刻均为低电平。即刚刚到9时,会输出上升沿,刚刚清零时,输出下降沿。通过非门,则可以在刚刚清零时输出上升沿,从而实现对十位的进位。

U1为六进制计数器,通过反馈清零法实现计数。当清零信号与电源VCC信号的与非即可获得进位信号。

小时计时电路如下图:

其中IO1~8是状态输出端,接译码器;IO9是CP输入端,接分计时器的进位端。

U1为十进制计数器,当个位计数到9时,RCO会输出一个高电平,个位清零时高电平立即消失,其余时刻均为低电平。即刚刚到9时,会输出上升沿,刚刚清零时,输出下降沿。通过非门,则可以在刚刚清零时输出上升沿,从而实现对十位的进位。当小时的十位数值为2时,则U1必须满四清零,这个通过与非门U3A实现。

U2为二进制计数器,实现方法个位4和十位2的同时反馈清零。这个通过与非门U3A实现。

(3)、译码电路及显示电路

为了能够使计时电路的状态能够变为十进制数字显示,必须要将计时电路输出的二进制数值通过译码器输出到显示器。译码芯片选择CD4511芯片。显示器选用七段数字显示器。

CD4511芯片介绍:

CD4511 是一片CMOS BCD—锁存/7 段译码/驱动器,用于驱动共阴极LED (数码管)显示器的BCD 码。特点:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动共阴LED数码管。

A0~A3:二进制数据输入端

CD4511引脚图

BI:输出消隐控制端

LE:数据锁定控制端

LT:灯测试端

Ya~Yg:数据输出端

VDD:电源正

VSS:电源负

CD4511真值表如下

七段数字显示器介绍

它是将七个发光二极管按一定的方式排列起来,七段a、b、c、d、e、f、g 各对应一个发光二极管,利用不同发光段的组合,显示不同的阿拉伯数字。

(a)数字显示器分段布置图(b)段组合图

七段数字显示器的内部接法

(a)共阳极电路(b)供应及电路

译码电路及显示电路图如下:

其中电阻R1作用是稳定数值显示,IO1~4为计时状态输入端,接计时器的状态输出端。

(4)、时间校准电路

校时电路有各类开关组成,开关类型见图

校时电路图如下:

其中IO1与IO4串联在小时个位计时芯片CP端和分十位计时进位端之间,IO2与IO5串联在分个位计时芯片CP端和秒十位计时进位端之间,IO3与IO5串联在秒个位计时芯片CP端和秒脉冲信号端之间。开关S4在上方是为校准状态,在下方为计时状态。S1为调时按钮,S2为调分按钮,S3为调秒按钮。

(5)、整点报时电路

整点报时电路要求在59分55到59分59秒均输出高电平供电给蜂鸣器,促使蜂鸣器发生。可以将计时芯片输出的二进制状态通过各种门组合,使其在特定的时间输出高电平。

整点报时电路图如下:

其中U1最上端为多余端,与非门多余端接高电平。IO1~IO9分别接:分的十位的计时芯片Qc、Qa输出端,个位的计时芯片的Qd、Qa输出端,秒的十位的计时芯片的Qc、Qa输出端,个位的计时芯片的Qc、Qb、Qc、Qa、Qd输出端。

(6)闹钟电路

闹钟电路由两部分电路组成,一个为定时电路,用来储存输入的预置的闹钟信号,另一个为比较电路,用来比较闹钟信号与计时电路的输出信号是否一致,若一致则输出高电平来促使蜂鸣器工作。

定时电路的电路与计时电路基本一致。去掉计时电路的秒,并且将小时、分的个位的芯片CP端接手动调成按钮,即可获得闹钟电路

闹钟电路的定时电路部分如下图所示

其中IO1~16分别按顺序连接比较电路的各B接口。同时为了显示方便,IO1~16还要接额外译码显示电路。

闹钟电路的比较电路部分,闹钟的比较部分需

要用到数值比较器。这里可以用74HC85芯片。

74HC85是四位数值比较器。

将四片74HC85芯片串联起来就可以得到16

位数值比较器。串联的方法便是将其中一片85芯

片的各I端口依次与另一个85芯片的Q端口相连。

各A端口和各B端口用于输入用来比较的数值。

74HC85的功能表如下

闹钟的比较部分的电路图如下

其中各A端口按序依次接计时电路的状态输出,各B端口按序依次接定时电路的状态输出

(7)、总电路图如下

其中为了连线方便以及连线整洁,电路图使用了总线连接的方法(粗线为总线)。

四、电路的仿真及指标验证。

(1)、打开仿真开关。

(2)、仿真校准时间功能:把校准开关往右拨,进入校准状态。调节计时时间为9点29分。然后将校准开关往左拨,进入计时状态。电子钟正常工作。如下图所示,已经走了7秒。

(3)仿真整点报时:为了显示清楚,蜂鸣器旁边再接一个指示灯。这样便于观察。将时间调到9点59分30秒,然后计时。到9点59分55秒时,指示灯亮,说明蜂鸣器工作,10点00分00秒时,指示灯熄灭,说明蜂鸣器停止工作。蜂鸣器工作持续5秒钟

调至59分30秒,初始灯不亮:

计入计时状态,到了59分55秒,灯亮:

到了10点00分00秒,灯熄灭:

(4)、仿真闹钟功能:

将闹钟定时至10点01分,开始计时:

闹钟定时按钮在下方

时间走到10点01分00秒,指示灯亮,说明蜂鸣器工作:

一分钟后,定时时间与计时时间不同,指示灯灭,表明蜂鸣器停止工作:

五、个人小结

多功能数字电子钟电路的设计需要用到许多芯片,这就要求我对各类芯片功能有较好的掌握。通过查阅数电教科书以及百度文库中对74HC系列芯片的相关介绍,我对各类芯片的功能有了一定的掌握。

数字钟电路线非常多,连起来非常麻烦。在软件中,我看到总线。通过了解,我发现线路非常多时,可以将各种线连接到一根总线上,这样子便可以使画面整洁,连接方便。这说明,对软件的深入了解,对设计还是有很大的帮助的。

刚开始话电路图时,发现计时错误,但是怎么也找不到错误发生在什么地方。后来我将数字钟电路模块化,,对每个模块进行细致设计。最终将所有模块集合在一起。这样子设计的好处就是条理清晰。错误出现后,可以按照模块的顺序去找错误,很快就能发现错误。

总的来讲,数字钟设计加强了我对数电知识的掌握。

使用Quartus进行多功能数字钟设计

EDA设计 使用Quartus II进行多功能数字钟设计 院系:机械工程 专业:车辆工程 姓名:张小辉 学号: 指导老师:蒋立平、花汉兵 时间: 2016年5月25日

摘要 本实验是电类综合实验课程作业,需要使用到QuartusⅡ软件,(Quartus II 是Altera公司的综合性PLD/FPGA开发软件,原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程)。本实验需要完成一个数字钟的设计,进行试验设计和仿真调试,实验目标是实现计时、校时、校分、清零、保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。 关键字:电类综合实验 QuartusⅡ数字钟设计仿真

Abstract This experiment is electric comprehensive experimental course work and need to use the Quartus II software, Quartus II is Altera integrated PLD / FPGA development software, schematic and VHDL, Verilog HDL and AHDL (Altera hardware description language support) etc. a variety of design input form, embedded in its own synthesizer and simulator can complete hardware configuration complete PLD design process from design entry to). The need to complete the design of a digital clock, and debug the design of experiment and simulation, the experimental goal is to achieve timing, school, reset, keep and the whole point timekeeping and other basic functions, and then download to the smartsopc experimental system debugging and validation. Key words: Electric power integrated experiment Quartus II Digital clock design Simulation

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

数电课程设计多功能数字钟的电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4)

2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

多功能数字钟电路设计

多功能数字钟电路设计 一、数字电子钟设计摘要 (2) 二、数字电子钟方案框图 (2) 三、单元电路设计及相关元器件的选择 (3) 1.6进制计数器电路的设计 (3) 2.10进制计数器电路的设计 (4) 3.60进制计数器电路的设计 (4) 4.时间计数器电路的设计 (5) 5.校正电路的设计 (6) 6.时钟电路的设计 (7) 7.整点报时电路设计 (8) 8. 译码驱动及单元显示电路 (9) 四、系统电路总图及原理 (9) 五、经验体会 (10) 六、参考文献 (10) 附录A:系统电路原理图 附录B:元器件清单

一、数字电子钟设计摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、数字电子钟方案框图 图1 数字电子钟方案框图

三、单元电路设计和元器件的选择 1. 6进制计数器电路的设计 现要设计一个6进制的计数器,采用一片中规模集成电路74LS90N芯片,先接成十进制,再转换成6进制,利用“反馈清零”的方法即可实现6进制计数,如图2所示。 图2

2. 10进制电路设计 图3 3. 60 进数器电路的设计 “秒”计数器与“分”计数器都是六十进制,它由一级十进制计数器和一级六进制计数器连接而成,如图4所示,采用两片中规模集成电路74LS90N串接起来构成“秒”“分”计数器。

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

上海大学数学研究分析历年考研真题

上海大学数学分析历年考研真题

————————————————————————————————作者:————————————————————————————————日期:

上海大学2000年度研究生入学考试试题 数学分析 1、 设 122(1)n n x x nx y n n +++= +L ,若lim n n x a →∞=,证明:(1)当a 为有限数时,lim 2 n n a y →∞=; (2)当a =+∞时,lim n n y →∞ =+∞. 2、设()f x 在[]0,1上有二阶导数(端点分别指左、右导数),(0)(1)0f f ==,且 [] 0,1min ()1f x =- 证明:[] 0,1max ()8f x ''≥ 3、 证明:黎曼函数[]1 , x= (0,,)()0,10,p q p q q q R x ?>? =??? 当为互质整数在上可积当x 为无理数. 4、 证明:1 2210 () lim (0),t tf x dx f t x π+ -→=+?其中()f x 在[]1,1-上连续. 5、 设()1ln 11n n p a n ? ?=+- ???,讨论级数2 n n a +∞ =∑的收敛性. 6、 设 ()f x dx +∞ ? 收敛且()f x 在[]0,+∞上单调,证明:0 1 lim ()()h n h f nh f x dx + +∞ +∞ →==∑?. 7、 计算曲面2 2 2 2 x y z a ++=包含在曲面22 221(0)x y b a a b +=<≤内的那部分的面积. 8、 将函数()f x x =在[]0,2π上展成Fourier 级数,并计算级数 1 sin k k k +∞ =∑的值. 上海大学2001年度研究生入学考试试题 数学分析 1、 计算下列极限、导数和积分: (1) 计算极限1 lim ();x x x + → (2) 计算 2 ()()x x f t dt ?=?的导数()x ?',其中()f x 2 ,(1) .1,(1)t t t t ≤?=? +>? (3) 已知( ) 21 1arctan 2tan 1sin 2 x x ' ??=??+??,求积分2011sin I dx x π=+?.

基于VHDL的多功能数字钟设计报告

基于VHDL的多功能数字钟 设计报告 021215班 卫时章 02121451

一、设计要求 1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。 2、设计精度要求为1秒。 二、设计环境:Quartus II 三、系统功能描述 1、系统输入:时钟信号clk采用50MHz;系统状态及较时、定时转换的控制信号为k、set,校时复位信号为reset,均由按键信号产生。 2、系统输出:LED显示输出;蜂鸣器声音信号输出。 3、多功能数字电子钟系统功能的具体描述如下: (一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。 (二)校时:在计时显示状态下,按下“k”键,进入“小时”待校准状态,若此时按下“set”键,小时开始校准;之后按下“k”键则进入“分”待校准状态;继续按下“k”键则进入“秒”待复零状态;再次按下“k”键数码管显示闹钟时间,并进入闹钟“小时”待校准状态;再次按下“k”键则进入闹钟“分”待校准状态;若再按下“k”键恢复到正常计时显示状态。若校时过程中按下“reset”键,则系统恢复到正常计数状态。 (1)“小时”校准状态:在“小时”校准状态下,显示“小时”的数码管以2Hz 闪烁,并按下“set”键时以2Hz的频率递增计数。 (2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (3)“秒”校准状态:在“秒复零”状态下,显示“秒”的数码管以2Hz闪烁,并以1Hz的频率递增计数。 (4)闹钟“小时”校准状态:在闹钟“小时”校准状态下,显示“小时”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (5)闹钟“分”校准状态:在闹钟“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (三)整点报时:蜂鸣器在“59”分钟的第“51”、“53”、“55”、“57”秒发频率为500Hz的低音,在“59”分钟的第“59”秒发频率为1000Hz的高音,结束时为整点。 (四)显示:采用扫描显示方式驱动4个LED数码管显示小时、分,秒由两组led灯以4位BCD 码显示。 (五)闹钟:闹钟定时时间到,蜂鸣器发出频率为1000Hz的高音,持续时间为60秒。 四、各个模块分析说明 1、分频器模块(freq.vhd) (1)模块说明:输入一个频率为50MHz的CLK,利用计数器分出 1KHz的q1KHz,500Hz的q500Hz,2Hz的q2Hz和1Hz的q1Hz。 (2)源程序: library ieee;

上海大学历年考研真题

2003年传播学理论考研试题 一、解释(3*10=30分) 1.劝服论 2.舆论 3.传播媒介 4.内向传播 5.维模原理 6.知晓权 7.近体 8.沉默的螺旋 9.文化规范论 10.多视觉新闻学 二、简答(5*12=60) 1.传播学包括哪些基本内容? 2.简介传播学4位奠基人的主要理论贡献与论著 3.冷媒介与热媒介 4.简述梁启超的新闻传播思想 5.提高宣传效果应注意的问题 三、论述(60分) 1.联系实际,辨证分析传播的功能(40分) 2.多网络传播的特点及与传统媒体的关系(20分)

2003年传播学研究方法考研试题 一、名词解释(4*10) 1.定量研究 2.经验社会学 3.连续变量 4.抽样 5.名目尺度 6.多因素设计 7.个案研究 8.抽样误差 9.信度 10.相关分析 二、简答题(60分) 1.实地访问的重要类型 2.内容分析的方**原则 3.实验的控制主要应把握的两个方面 三、论述题(50分) 问卷的结构分析 2004年试题 R检验 描述性统计分析 定量

简单随机抽样 内容分析 经济传播 信息污染 文化分层 议程设置 铅版 定量与定性的区别和联系(论述)上大05年传播学理论试题 一、名词解释 1.莱温 2.传播者 3.媒介情景非真实化 4.内向传播 5.新闻 6.文化传播的“维模”原理 7.知晓权 8.集权主义理论 9.申报 二、简答题 1.结构功能理论 2.宣伟伯模式

3.议程设计理论 三、论述题 1.麦克鲁汉的媒介理论 2.陈独秀的新闻思想 2005年传播学研究方法 一、名词解释(8*5) 1.信度、效度 2.内容分析 3.分层抽样 4.个案研究 5.控制实验 6.R检验 7.假设 8.答案的穷尽性 二、简答题(4*15) 1.问卷设计中常见的错误有哪些? 2.定量研究方法的具体步骤并图示 3.科学的研究设计包括哪几项? 4.问题设计的原则 三、论传播学研究的交叉性(50)

推荐-基于多功能数字钟的课程设计报告 精品

EDA技术课程设计 多功能数字钟 学院:城市学院 专业、班级: 姓名: 指导老师: 20XX年12月

目录 1、设计任务与要求 (2) 2、总体框图 (2) 3、选择器件 (2) 4、功能模块 (3) (1)时钟记数模块 (3) (2)整点报时驱动信号产生模块 (6) (3)八段共阴扫描数码管的片选驱动信号输出模块 (7) (4)驱动八段字形译码输出模块 (8) (5)高3位数和低4位数并置输出模块 (9) 5、总体设计电路图 (10) (1)仿真图 (10) (2)电路图 (10) 6、设计心得体会 (11)

一、设计任务与要求 1、具有时、分、秒记数显示功能,以24小时循环计时。 2、要求数字钟具有清零、调节小时、分钟功能。 3、具有整点报时,整点报时的同时输出喇叭有音乐响起。 二、总体框图 多功能数字钟总体框图如下图所示。它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。 系统总体框图 三、选择器件 网络线若干、共阴八段数码管4个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、 seltime(驱动4位八段共阴扫描数码管的片选 驱动信号输出模块)、deled(驱动八段字形译 码输出模块)。

四、功能模块 多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。 (1) 时钟记数模块: <1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。 VHDL程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hour24 is port( clk: in std_logic; reset:instd_logic; qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); end hour24; architecture behav of hour24 is begin process(reset,clk) begin if reset='1' then qh<="000"; ql<="0000"; elsif(clk'event and clk='1') then if (qh<2) then if (ql=9) then ql<="0000"; qh<=qh + 1; else ql<=ql+1; end if; else if (ql=3) then ql<="0000"; qh<="000"; else ql<=ql+1; end if; end if; end if; end process; end behav; 仿真波形如下:

多功能数字钟电路的设计与制作

多功能数字钟电路的设计与制作 一、设计任务与要求 设计和制作一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间,准点报时。 二、方案设计与论证 1.数字钟设计原理 数字电子钟一般由振荡器、译码器、显示器等几部分电路组成,这些电路都是数字电路中应用最广的基本电路。振荡器产生的1Hz的方波,作为秒信号。秒信号送入计数器进行计数,并把累计的结果以“时”、“分”、“秒”的数字显示出来。“秒”的计数、显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的计数、显示电路与“秒”的相同;“时”的计数、显示由两级计数器和译码器组成的二十四进制计数电路实现。所有计时结果由七段数码管显示器显示。用4个与非门构成调时电路,通过改变方波的频率,进行调时。最后用与非门和发光二极管构成整点显示部分。

2.总体结构框图如下: 图14 总体框图 三、单元电路设计与参数计算 1.脉冲产生电路 图15 晶振振荡器原理图 图16 555定时器脉冲产生电路原理图 振荡器可由晶振组成(如图15),也可以由555定时器组成。图16是由555定时器构成的1HZ 的自激振荡器,其原理是: 第一暂态2、6端电位为Vcc 3 1 ,则输出为高电平,三极管不导通,电容C 充电,此 时2、6端电位上升。当上升至大于Vcc 3 2 时,输出为低电平,三极管导通,电容C 放电, 11 21 C 1 R C 2 R O

此时2、6端电位下降,下降至Vcc 3 1 时,输出高电平,以此循环。根据公式C R R f )2(43.121+≈ 得,此时频率为0.991。 图17 555定时器波形关系 图18 555定时器产生1Hz 方波原理图 2.时间计数电路 图19 74LS161引脚图 74LS161功能表 v V 2 3 V 1 3 v U 1 74L S 161D Q A 14Q B 13Q C 12Q D 11R C O 15A 3B 4C 5D 6 E N P 7E N T 10 ~L O A D 9~C L R 1 C L K 2

多功能数字钟实验报告

《多功能数字钟电路的设计、制作》 课程设计报告 班级:(兴) 2008级自动化 姓名:胡荣 学号:2008960623 指导教师:刘勇 2010年11月13日

目录 一、设计目的.................................1 二、设计内容及要求...........................1 三、总设计原理...............................1 四、主要元件及设备...........................2 五、单元电路的设计...........................5 1、数字电子计时器组成原理.................5 2、用74LS160实现12进制计数器..............6 3、校时电路...............................7 4、时基电路设计...........................8 六、设计总电路图.............................8 七、设计结果及其分析.........................8 八、设计过程中的问题及解决方案...............9 九、心得体会.................................9 十、附录.....................................10

多功能数字钟电路设计 一、设计目的 通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计内容及要求 1、功能要求: ①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。 ②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。 2、设计步骤与要求: ①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低; ②设计各单元电路,并用Multisim软件仿真; ③在通用电路板上安装电路,只要求显示时分; ④测试数字钟系统的逻辑功能; ⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。 三、总设计原理 数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。 四、主要元件及设备 1、给定的主要器件: 74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个) 各元件引脚图如下图:

上海大学-离散数学2-图部分试题

离散数学图论部分综合练习 一、单项选择题 1.设无向图G 的邻接矩阵为 ??????? ? ??? ?? ???010 1010010000 011100100110 则G 的边数为( ). A .6 B .5 C .4 D .3 2.已知图G 的邻接矩阵为 , 则G 有( ). A .5点,8边 B .6点,7边 C .6点,8边 D .5点,7边 3.设图G =,则下列结论成立的是 ( ). A .deg(V )=2 E B .deg(V )=E C .E v V v 2)deg(=∑∈ D .E v V v =∑∈)deg( 4.图G 如图一所示,以下说法正确的是 ( ) . A .{(a , d )}是割边 B .{(a , d )}是边割集 C .{(d , e )}是边割集 D .{(a, d ) ,(a, c )}是边割集 5.如图二所示,以下说法正确的是 ( ). A .e 是割点 B .{a, e }是点割集 C .{b , e }是点割集 D .{d }是点割集 6.如图三所示,以下说法正确的是 ( ) . ο ο ο ο ο c a b e d ο f 图一 图二

A.{(a, e)}是割边B.{(a, e)}是边割集 C.{(a, e) ,(b, c)}是边割集D.{(d, e)}是边割集 图三 7.设有向图(a)、(b)、(c)与(d)如图四所示,则下列结论成立的是( ). 图四 A.(a)是强连通的B.(b)是强连通的 C.(c)是强连通的D.(d)是强连通的 应该填写:D 8.设完全图K n 有n个结点(n≥2),m条边,当()时,K n 中存在欧拉 回路. A.m为奇数B.n为偶数C.n为奇数D.m为偶数9.设G是连通平面图,有v个结点,e条边,r个面,则r= ( ). A.e-v+2 B.v+e-2 C.e-v-2 D.e+v+2 10.无向图G存在欧拉通路,当且仅当( ). A.G中所有结点的度数全为偶数 B.G中至多有两个奇数度结点 C.G连通且所有结点的度数全为偶数 D.G连通且至多有两个奇数度结点 11.设G是有n个结点,m条边的连通图,必须删去G的( )条边,才能确定G的一棵生成树. A.1 m n-+B.m n-C.1 m n++D.1 n m -+ 12.无向简单图G是棵树,当且仅当( ). A.G连通且边数比结点数少1 B.G连通且结点数比边数少1

数电课程设计多功能数字钟的设计与实现

课程设计任务书 题目: 多功能数字钟的设计与实现 初始条件: 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示时间计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。 3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。 4)设计提供连续触发脉冲的脉冲信号发生器, 5)具有校时单元、闹钟单元和整点报时单元。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《******大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年 3 月18 日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年3 月22日至2013 年5 月10 日,方案选择和电路设计。 3、2013 年5 月25 日至2013 年7 月2 日,电路调试和设计说明书撰写。 4、2013 年7 月5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1 引言 (1) 1.1 数字钟简介 (1) 1.2 EWB简介 (1) 2 方案选择 (3) 3 系统框图 (4) 4 分电路设计 (5) 4.1 脉冲产生电路 (5) 4.1.1设计要求 (5) 4.1.2所需元件 (6) 4.1.3元件介绍 (6) 4.1.4参数计算 (7) 4.1.5电路设计 (8) 4.2计数电路 (9) 4.2.1秒电路 (9) 4.2.2分电路 (11) 4.2.3时电路 (13) 4.3显示电路 (14) 4.3.1所需元件 (14) 4.3.2元件介绍 (14) 4.3.3原理说明 (14) 4.3.4电路设计 (15) 4.4整点报时电路 (15)

大连理工大学数字电路课程设计报告:多功能数字时钟设计

大连理工大学本科实验报告题目:多功能数字时钟设计 课程名称:数字电路与系统课程设计 学院(系):信息与通信工程学院 专业:电子信息工程 班级: 学生姓名: 学号: 完成日期:2014年7月16日 2014 年7 月16 日

题目:多功能数字时钟设计 1 设计要求 1) 具有“时”、“分”、“秒”及“模式”的十进制数字显示功能; 2) 具有手动校时、校分功能,并能快速调节、一键复位(复位时间12时00分00秒); 3) 具有整点报时功能,从00分00秒起,亮灯十秒钟; 4) 具有秒表功能(精确至百分之一秒),具有开关键,可暂停、可一键清零; 5) 具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时间12时00分00秒),闹钟时间到亮灯十秒钟进行提醒; 6) 具有倒计时功能(精确至百分之一秒),可手动设置倒计时时间,若无输入,系统默认60秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时间默认60秒)。 2 设计分析及系统方案设计 2.1 模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。模式一:时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五:倒计时功能。 2.2 数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 具有复位按键1,在时钟模式下按下复位键后对时钟进行复位,复位时间12时00分00秒。 进入手动调时功能时,通过按键调节时间,每按下依次按键2,时钟时针加一,按下按键2一秒内未松手,时钟时针每秒钟加十;按键1对分针进行控制,原理与时针相同并通过译码器由七位数码管显示。 从00分00秒开始,数字钟进入整点报时功能(本设计中以一个LED灯代替蜂鸣器,进行报时),亮灯10秒钟进行提示。 2.3多功能数字钟的秒表功能部分:计时范围从00分00.00秒至59分59.99秒。可由复位键0异步清零,并由开关1控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为0.01秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示 2.4多功能数字钟的闹钟功能部分:进入闹钟功能模式后,通过按键2(设定小时)和按键1(设定分钟)设定闹钟时间,当按下按键一秒内未松手时,可进行快速设定时间。当时钟进入闹钟设定的时间(判断时钟的时信号时针,分针分别与闹钟设定的时信号时针、分针是否相等),则以LED灯连续亮10秒钟进行提示,并由开关0控制闹钟的开和关。 2.5 多功能数字钟的倒计时功能部分:可通过按键3(设定分针)和按键2(设定秒针)设定倒计时开始,当按下按键一秒内未松手时,可进行快速设定时间。当没有手动时间设定时,系统默认为60秒倒计时。倒计时的时钟与数字钟的时钟相同,每迎到一个1s时钟上升

上海大学2009年数学分析考研试题

上海大学2009年度研究生入学考试题 数学分析 1. 1222lim 0,lim 0n n n n a a na a n →∞→∞++== 求 2.叙述一致连续定义。问()22cos cos g x x x =+是否是周期函数?证之 3. ()f x 在[)1,+∞可导,()()() 22111,f f x x f x ′==+且证()lim x f x →+∞存在且极限小于14π + 41 2 0sin ,x I dx x = ∫误差<0.0005 5.()()(0,)13,,0, f x C f x y ∈+∞ = >当()()()111,xy y x f t dt x f t dt y f t dt =+∫∫∫()f x 求 6. ()f x 在[],a b 可积. ()[][]0,,,b a f x dx a b αβ≠ ?∫是否存在,[](),f x αβ 使上为恒正或者恒负。证之 7. }{()1lim 01n n n n n n x x x ∞→+∞== ?∑在的条件下,试问收敛吗?证之 8. ()f x 在[)1,+∞单减连续可微,()lim 0,x f x →+∞ = ()()1lim 0x xf x dx xf x +∞→∞ =∫证明:当收敛,则 9.证明: ()1,2n n f x x n = =,,…在[)0,1非一致收敛,但()()[)S 1,20,1n n g x x x n = =,,…在上一致收敛,其中()S x 在[)0,1上连续且()S 1=0 10()[]01f x C ∈ ,,证明:()()()10lim 11n x n x f x dx f →+∞+=∫ 11a>>>任取一点做切平面,求该切平面截三坐标轴所得三线段长度之和 13.中心在原点的2222221Ax By Cz Dxy Eyz Fxz +++++=的长半轴l 是下行列式的最大

多功能数字钟电路设计

多功能数字钟电路设计 1设计内容简介 数字钟是一个简单的时序组合逻辑电路,数字钟的电路系统主要包括时间显示,脉冲产生,报时,闹钟四部分。脉冲产生部分包括振荡器、分频器;时间显示部分包括计数器、译码器、显示器;报时和闹钟部分主要由门电路构成,用来驱动蜂鸣器。 2设计任务与要求 Ⅰ以十进制数字形式显示时、分、秒的时间。 Ⅱ小时计数器的计时要求为“24翻1”,分钟和秒的时间要求为60进位。 Ⅲ能实现手动快速校时、校分; Ⅳ具有整点报时功能,报时声响为四低一高,最后一响为整点。 Ⅴ具有定制控制(定小时)的闹钟功能。 Ⅵ画出完整的电路原理图 3主要集成电路器件 计数器74LS162六只;74LS90三只;CD4511六只;CD4060六只;三极管74LS191一只;555定时器1只;七段式数码显示器六只,74LS00 若干;74LS03(OC) 若干;74LS20 若干;电阻若干,等 4设计方案 数字电子钟的原理方框图如图(1)所示。该电路由秒信号发生器、“时,分,秒”计数器、译码器及显示器、校时电路、整点报时电路、闹钟定时等电路组成。秒信号产生器决定了整个计时系统的精度,故用石英晶体振荡器加分频器来实现。将秒信号送入“秒计时器”,“秒计时器”采用六十进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用六十进制计数器,每60分钟,发出一个“时脉冲”,该信号经被送到“时计数器”作为“时计数器”的时钟脉冲,而“时计数器”采用二十四进制计数器,实现“24翻1”的计数方式,可实现对一天二十四小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态通过七段式显示译码器译码,通过刘伟LED 七段显示器显示出来。整点报时电路是根据计时系统的输出状态产生一脉冲信号,然后触发一音频发生器实现整点报时,定时电路与此类似。校时电路是用“时”、“分”、“秒”显示数

上海大学_王培康_数值分析大作业

数值分析大作业(2013年5月) 金洋洋(12721512),机自系 1.下列各数都是经过四舍五入得到的近似值,试分别指出它 们的绝对误差限, 相对误差限和有效数字的位数。 X1 =5.420, x 2 =0.5420, x 3=0.00542, x 4 =6000, x 5=50.610? 解:根据定义:如果*x 的绝对误差限 不超过x 的某个数位的半个单位,则从*x 的首位非零数字到该位都是有效数字。 显然根据四舍五入原则得到的近视值,全部都是有效数字。 因而在这里有:n1=4, n2=4, n3=3, n4=4, n5=1 (n 表示x 有效数字的位数) 对x1:有a1=5, m1=1 (其中a1表示x 的首位非零数字,m1表示x1的整数位数) 所以有绝对误差限 143 11 (1)101022 x ε--≤ ?=? 相对误差限 31() 0.510(1)0.00923%5.4201 r x x x εε-?= == 对x2:有a2=5, m2=0 所以有绝对误差限 044 11 (2)101022 x ε--≤ ?=? 相对误差限 42() 0.510(2)0.00923%0.54202 r x x x εε-?= == 对x3:有a3=5, m3=-2 所以有绝对误差限 235 11 (3)101022 x ε---≤ ?=? 相对误差限 53() 0.510(3)0.0923%0.005423 r x x x εε-?= == 对x4:有a4=0, m4=4 所以有绝对误差限 4411(4)1022 x ε-≤?= 相对误差限 4() 0.5 (4)0.0083%6000 4 r x x x εε= = = 对x5:有a5=6, m5=5 所以有绝对误差限 514 11(5)101022 x ε-≤ ?=? 相对误差限 45() 0.510(5)8.3%600005 r x x x εε?= ==

数电实验——多功能数字钟

大连理工大学本科实验报告题目:多功能数字钟 课程名称:《数字电路课程设计》 学院(系):电子信息与电气工程学部 专业:自动化 班级:电自0801 学生姓名: 学号:200881142 完成日期:2011年7月20日 成绩: 2011 年7 月20 日

题目:多功能数字钟 1 设计要求 (1)一个具有“时”,“分”,“秒”的十进制数字显示(小时从00~23) 计时器。 (2)具有手动校时,校分的功能。 (3)定时与闹钟功能,能在设定的时间发出闹铃声 (4)能整点报时。要求从59分54秒起报时,每隔2秒发出低音,,连续 3次,在整点要求是高音。 2 设计分析及系统方案设计 系统总体结果 系统设计要求说明: (1)该秒表用模24、模60计数器实现24小时计时 (2)在调节闹钟时不影响数字钟的正常走表; (3)在调节闹钟时要通过数码管显示出; 3系统以及模块硬件电路设计 根据上述给出的系统总体结构框图,给出系统硬件电路设计,并作必要的说明和理论计算。由于“数字电路课程设计”课程采用实验箱完成,没有学时涉

及有关FPGA芯片的使用,因此有关FPGA芯片的部分可以用“FPGA最小系统”模块框代替。其余接口部分(按键,LED以及数码管,各种接口等需要设计电路以及参数)。 下载时选择的开发系统模式以及管脚定义 表1 GW48-CK开发系统工作模式:模式0 接口名称 类型 (输入/输 出) 结构图上 的信号名引脚号说明 en 输入PIO7 16 手动校时(1-调时,0- 走表) th 输入PIO6 11 调时按键(en=1,或 en1=1调时) en1 输入PIO5 10 闹钟设定(1-调时,0- 不走) tm 输入PIO4 9 调分按键(en=1或 en1=1,才可调分)alarmstop 输入PIO3 8 闹铃控制端 clk 输入CLOCK1 42 系统走表时钟(f=1Hz)clk1 输入CLOCK0 2 闹钟与整点报时时钟 (f=256Hz) clk2 输入CLOCK5 83 整点报时时钟时钟 (f=1024Hz) qhh 输出PIO47-PIO44 79、78、73、72 输出小时的高位 qlh 输出PIO43-PIO40 71、70、67、66 输出小时的低位 qhm 输出PIO39-PIO36 65、64、62、61 输出分钟的高位 qlm 输出PIO35-PIO32 60、59、58、54 输出分钟的低位 qhs 输出PIO31-PIO28 53、52、51、50 输出秒的高位 qls 输出PIO27-PIO24 49、48、47、39 输出秒的低位 speaker 输出SPEAKER 3 输出蜂鸣器的时钟 4 系统的VHDL设计 系统的各个部分如何用VHDL设计出来的应该有说明,包括论述以及真值表或者状态图等。 要求:系统(或顶层文件)结构描述,各个模块(或子程序)的功能描述; 1)用原理图实现的,需包含以下内容: (1)系统原理图

相关文档
相关文档 最新文档