文档库 最新最全的文档下载
当前位置:文档库 › 基于数字电路设计的出租车计费器

基于数字电路设计的出租车计费器

基于数字电路设计的出租车计费器
基于数字电路设计的出租车计费器

基于数字电路设计的出租车计费器

系部:

学生姓名:

专业班级:

学号:

指导教师:

年月日

声明

本人所呈交的,是我在指导教师的指导和查阅相关著作下独立进行分析研究所取得的成果。除文中已经注明引用的内容外,本论文不包含其他个人已经发表或撰写过的研究成果。对本文的研究做出重要贡献的个人和集体,均已在文中作了明确说明并表示谢意。

作者签名:

日期:

摘要

现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。虽然部分小城市尚未普及,但随着城市化进程日益深化,象征着城市面貌的出租车行业也将飞速发展,计价器普及必将大势所趋,预计未来计价器市场很有前景。计价器作为出租车的一个重要组成部分,关系着出租车司机和乘客双方利益,起着重要的作用,因此,具有良好性能的计价器对广大出租车司机朋友和乘客来说是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就可以轻易而举的实现。

关键词:出租车计价器控制;计价器; LED数码管

ABSTRACT

It is now the major city taxi industry have universal automatic meter, meter technology development is a foregone conclusion. Although part of the small city has not yet universal, but with the development of city is deepened increasingly, symbolizes the city 's taxi industry also will be rapid development, valuation implement popularity will represent the general trend, the expected future meter Market promising. Taxi meter as an important part of taxi drivers and passengers, relationship between the interests of both sides, plays an important role, therefore, with good performance of the meter the majority of taxi drivers friends and passenger is very necessary. The use of analog circuit and digital circuit design of the meter integral circuit of a larger scale, using the device, causing the high failure rate, difficult to debug, the pattern of switching requires the use of a mechanical switch, a mechanical switch for a long time will cause bad contact, function is not

easy to achieve. And the use of single-chip design, relatively powerful, with fewer hardware and the appropriate software interface can be easily achieved the design requirements, and flexibility, can be accomplished through software programming to more additional function. In terms of the switch, through software programming can be easy to achieve.

【KEY WORD】: Taximeter ; control ;meter; LED digital tube

目录目录中不要标摘要!

摘要 (3)

引言 (6)

一、设计任务与要求 (7)

二、使用原件 (7)

(一)直流稳压电源 (7)

(二)集成电路 (7)

1. 芯片74LS161功能介绍 (7)

2. 芯片74LS48功能介绍 (9)

3.芯片74LS90功能介绍 (9)

(三)数据开关、按钮、阻容元件若干 (10)

(四)数码管 (10)

三、电路设计 (10)

四、单元电路设计 (11)

(一)里程计费电路设计 (11)

(二)等候时间计费电路设计 (12)

(三)计数、锁存、显示电路 (13)

(四)时钟电路器 (13)

(五)置位电路和脉冲产生电路的设计 (14)

附录 (15)

参考文献 (15)

致谢 (16)

引言

如今的生活水平越来越高,这点从衣食住行之中便能看出,尤其是在“行”上。以往人们出门都是徒步行走或是骑马、马车之类,到了近代,人们发明了自行车,用它代步,无疑是极为方便,而随着科技的发展,汽车的发明,人类产生了一门新兴行业,出租车!

现在的人们即使自己有车,也往往不愿意自己开车,更多的愿意打车、打的。这使得出租车行业发展极为迅速,然而出租车的收费问题一直困扰着人们,这收费问题自然而然成为了人们关注的话题,比如收费标准和以及行驶里程等。

今天通过设计一款出租车计价器的课题,我们也熟悉了数字电路和模拟电路、单片机系统以及其他硬件操作技术,提高我们分析问题和解决问题的能力。

本论文总共分为四章:第一章设计任务与要求;第二章介绍使用原件;第三章电路设计;第四章电源电路设计。最后结合试验和个人体会阐述结果以及相应的结论。

在此谢谢指导老师和同学们的热情帮助。

一、设计任务与要求

出租车自动计费器是根据客户用车的实际情况而自动计算、先是车费的数字表。数字表根据用车起步费、行车里程计费及等候时间计费三项显示客户用车总费用,还可设计起步、停车的音乐提示或语言提示。

(1)自动计费器具有行车里程计费、等候时间计费和起步费三部分,三项计费统一用4位数码管显示,最大金额为99.99元。

(2)行车里程单价设为1.8元/km,等候时间计费设为每10min计1.5元,起步价设为8元。要求行车时,计费值每公里刷新一次;等候是每10min

刷新一次;行车不到1km或等候不足10min则忽略计费。

(3)在启动和停车给出声音提示。

二、使用元件这里面的小节按一种一种元件来分,每个元件就是一小节,不是按电路分的!你改一下!还有你网上下载的东西,你自己看看换行位置对不对,一句话

都没完就重起一行了!

(一)直流稳压电源

(二)集成电路

1. 芯片74LS161功能介绍

功能说明

在LS160A / 161A / 162A / 163A 4-bit同步

计数器与同步并行启用(负荷)功能.

计数器包括四个边沿触发D触发器与

相应的数据路由网络供给的D投入.所有

改变的Q输出(除因异步

主复位发生,在LS160A和LS161A)作为一个结果,

和同步,低到高的过渡

时钟输入(CP).只要设定时间要求得到满足,有没有特殊的时机或活

动的限制,在任何模式控制或数据输入.

三个控制输入—并行启用(PE),计数启用

并行(CEP)和计数使能涓流(CET) —选择

运作模式如下表所示.伯爵

模式被激活时CEP, CET,和PE输入为高.

当PE是低,计数器将同步载入

从低到触发器的并行输入数据

高时钟过渡.要么CEP或CET

用来抑制计数序列. ,随着PE高举,

低的CEP或CET输入至少一个设置时间

前低到高时钟过渡将导致

要保留现有的输出状态.和功能

二计数启用输入(CET“

?

CEP)允许同步

级联没有外部的门和毫不拖延地在任何的bits或数字的实际数量的积累.

终端计数(TC)输出为高电平时伯爵

启用涓滴(CET)输入是高的,而在其柜台

最大计数(HLLH为BCD计数器的状态,HHHH为

二进制计数器).请注意,TC完全解码,因此,只为一个计数状态. LS160A和LS162A数模10后

二进制编码的十进制(BCD)序列.他们产生1 TC的

输出时CET输入是高的,而计数器的状态是

从这种状态下,他们9 (HLLH).递增状态0 (LLLL).如果

加载与超过9的代码,他们回到自己的合法

内两项序列,说明在国家

图.美国10通过15做

产生TC输出.

LS161A和LS163A数模16后

二进制序列.它们产生的TC输入时CET

而柜台状态15 (HHHH).是从这个国家递增状态0 (LLLL).

(MR)和LS160A主的复位LS161A是

异步的.当MR是低,它覆盖了所有其他的输入条件和低产出sets.

绝不应该MR脚悬空.如果不使用,MR引脚通过一个电阻应绑到VCC,被

永久设置为高逻辑电平栅极输出.

低电平有效的同步复位(SR)输入

作为一个边沿触发控制输入LS162A和LS163A acts,覆盖CET,

CEP和PE,和重置四个计数器触发器低到高的时钟过渡.这简化了从

设计控制复位电路种族自由逻辑,e.g.,的的同步计数器达到预定

值后重置

2.芯片74LS48功能介绍

SN54 / 74LS48是BCD 7-Segment解码器的NAND

门,输入缓冲器和七个AND-OR-INVERT大门.七NAND门

和一个驱动器是成对连接,使BCD数据和它的补

可用七个解码AND-OR-INVERT大门.其余

门NAND和三个输入缓冲器提供的LS48.的灯测试,消隐input/ripple-消隐输入电路接受4-bit binary-coded-decimal (BCD),并根据

辅助输入状态,解码这些数据来带动其他组件.

相对正逻辑输出水平,以及辅助输入所需的条件,在真值表.

LS48电路采用自动领导和/或尾随边缘

零消隐的控制(RBI和RBO).灯测试(LT)可以激活任何时间,当的BI / RBO

波节是高.这两款器件含有一个压倒一切的消隐输入(BI)的,可用于由不同的

BI输入信号的频率和占空比来控制灯的亮度或抑制输出.

3.芯片74LS90功能介绍

LS90, LS92,和LS93是4-bit波纹型十年,

Divide-By-Twelve,和二进制计数器.每个装置由四个主/从触

发器内部连接提供divide-by-two节和divide-by-five (LS9

0), divide-by-six (LS92),或divide-by-eight (LS93)

节.每一部分都有一个独立的时钟输入启动计数器的状态变化钟的HIGH-

to-LOW过渡.国家的Q产出的变化不会同时出现因为内部纹波延误.因

此,解码输出信号解码尖峰,不应该被用于时钟或闪光灯.每个设

备Q0输出的设计和指定驱动器的额定扇出加上设备CP1输入的。

(三)数据开关、按钮、阻容元件若干

(四)数码管

三、总电路设计

按照传统电子设计方法,采用计数器电路为主实现自动计费。

分别将等候时间,行车里程都按照相同的比价转换成脉冲信号,然后然后对脉冲信号进行计数,而起步价可以通过预置送入计数器作为初值,如同3-1原理框图所示,里程信号检测器将检测到的行车里程经整形后送到行车里程计数器。每行车1km行车里程计数电路输出一个脉冲信号,启动行车单价计数器计数而输出与单价对应的脉冲数,例如单价是1.8元/km,则设计一个一百八十进制计数器,每公里输出180个脉冲到总费计数器,即每个脉冲为0.01元。等候时间计数器将来自时钟电路的秒脉冲作六百进制计数,得到10min信号,用10min信号控制一百五十进制计数器(等候10min单价计数器)想总费计数器输入150个脉冲。即每个脉冲也为0.01元。实现分贝将行车里程、等候时间都按相同的比价转换成脉冲信号的目的,以保证送到后面综费计数器的每个脉冲所代表的比较都为0.01元。这样,总费计数器根据起步价所预置的初值,加上里程脉冲、等候时间脉冲即可得到总的用车费用。

1

3-1

图的位置放正,大小调整要适中,你现在的图字都没有了,如果你嫌太大,不好调,用截图工具转成图片再调整!

上述方案中,如果将里程单价计数器和10min等候单价计数器用比例乘法器完成,则可以得到较简单的电路。它将里程脉冲乘以比例系数得到代表里程费用的脉冲信号,等候时间脉冲乘以单位时间的比例系数得到代表等候时间的脉冲信号,然后将这两部分脉冲求和。

如果综费计数器采用BCD码加法器,即利用每计满1km的里程信号,每等候10min 的时间信号控制加法器加上相应的单价价值,就能计算出用车费用。

四、单元电路设计

(一)里程计费电路设计

里程计费电路结构如图4-1所示。由里呈现好检测器、整形电路、行车里程计数器、里程单价计数器以及总费计数器和显示器组成,总书记飞起以及其后面的数据锁存器、译码显示器等为共用。而里程信号检测是有安装在与汽车轮相接的涡轮变速器上的磁铁使干簧继电器在汽车每前行10m闭合一次,即输出一个脉冲信号。汽车每前进1km,则输出100个脉冲。此时,计费器应累加1km的计费单价,本电路设为1.80元。在图3-1中,干簧继电器产生的脉冲信号经由74LS00组成的施密特触发器整形

得到CP0,CP0送入74HC161(1)和(2)构成的一百进制计数器(即行车里计数器),当计数器挤满100个脉冲时,一方面由于非门产生清零脉冲加到R d端使计数器清零,另一方面将基本R-S触发器的Q置为1,此时ET=EP=1。是74HC161(3)和(4)组成的一百八十进制计数器(即里程单价计数器)开始对标准脉冲CP1计数,(标准脉冲CP1由时钟电路提供)计满180个脉冲后,R d端的清零脉冲而使计数器清零,R-S触发器的Q1输出为0,计数器停止计数。在一百八十进制计数器计数期间,由于Q1=1,则P2=CP1,使P2端输出180个脉冲信号,代表每公里行车里程计费,即每个脉冲的计费是0.01元,称为脉冲当量。P2输出的脉冲当量送到总费计数器进行计数累加。

每张图都要编号!看看你的教科书怎么弄的!你画的电路图上面的字体设定得太小了!你改大一点,再截图过来,不然你的图一点都看不清什么元件,什么标号!

(二)等候时间计费电路设计

等候时间计费电路如图4-2所示,由等候计数器和10min单价计数器组成,其中由74HC161(1)、(2)、(3)构成等候时间计数器,它是一个六百进制计数器,对秒脉冲CP2(来自时钟电路)作计数,当计满一个循环时也就是等候时间满10min,一方面对六百进制计数器清零,另一方面将基本R-S触发器Q2置为1,启动由74HC161(4)和(5)构成的10min单价计数器工作,它是一个一百五十进制计数器。计数期间同时将脉冲从P1输出。在计数器计满10min等候单价时将R-S触发器复位为0,

停滞计数。从P1输出的脉冲数就是每等候10min输出150个脉冲,表示单价为1.5元,即脉冲当量为0.01元,P1输出脉冲当量也送至总费计数器进行计数累加。等候计时的起始信号由接在74HC161(1)的手动开关S1给定。

(三)计数、锁存、显示电路

如图4-3-1所示,其中计数器由4位BCD码计数器74LS160构成,由于来自里程计费电路的脉冲P2和来自等候时间的计费脉冲P1,的脉冲当量已经相同,均为0.01元,计数器可以对它们进行十进制计数。计数器所得到的状态值送入由两片8位锁存器74LS273构成的锁存电路锁存,然后由七段译码器74LS48译码后送到共阴数码管显示。

计数、译码、显示电路为使用显示数码不闪烁,需要保证计数锁存和计数器零信号之间正确的时序关系,如图4-3-2所示。

由图4-3-2的时序图结合图7-6的电路课件,在Q2或Q1为高电平1期间,计数器对里程脉冲P2或等候时间脉冲P1进行计数,当计数完1km脉冲(或等候10min 脉冲)则计数结束。现在应将计数器的数据锁存到74LS273中以便进行译码显示,锁存信号由74LS123(1)构成的单稳态电路实现,当Q1或Q2变0时,启动单稳电路延时而使1Q产生一个正脉冲,这个正脉冲的持续时间保证数据锁存可靠。锁存到74LS273中的数据由74LS48译码后,在显示器中显示出来。只有在数据可靠锁存后才能清除计数器中的数据。因此,电路中用74LS123(2)设置了第二级单稳态电路,该单稳电路用第一级单稳输出脉冲1Q的下跳沿启动,经延时后在第二级单稳态的输出2Q产生计数器的清零信号。这样就保证了“计数→锁存→清零”的先后顺序,保证计数和显示稳定可靠。

图中的S2为上电开关,当S2闭合时,4位计数器均处于置数状态,数据D=1被自动置入第三位计数器,计费器显示8.00元起步价,可见,由S1能实现上电时自动置入起步价目,并且方便实现起步价的调整。S3可实现手动清零,当S3闭合时,使4位计数器都清零,结果使计费器显示为00.00。其中,小数点为固定位置。

(四)时钟电路器

时钟电路的功能是提供等候计费所需要的计时基础信号,同时也作为里程计费和

等候时间计费的单价脉冲源,电路如图4-4所示,它由振荡器和三级十进制计数器组成。

其中由555定时器和外接的RC组成一个振荡器,产生1kHz的矩形波信号,经74LS90组成的3级十分频后,得到1kHz的脉冲信号,可作为计时的秒信号。同时,从74LS90(1)的Q0经分频得到的500Hz脉冲作为CP1的计数脉冲。计时基准信号源也可采用频率稳定度更高的石英晶体振荡器实现。

(五)置位电路和脉冲产生电路的设计

在数字电路的设计中,常常还需要产生位置、复位信号,如Sd、Rd。这类信号恰好分高电平有效、低电平有效两种。由于实际电路在接通电源瞬间的状态往往是随即的,需要通过电路自动产生置位,复位电平使之可靠进入预定的初始状态,如前面设计中的图4-3,其中S2就是通过上电实现计数器的数据预置。如果产生的置位、复位信号不稳定可靠,会直接导致系统工作不稳定而产生错误。图4-5给出了几种上电自动置为、复位或置数的电路。

在图(a)中,当S闭合接通电源时,犹豫电容C两端电压不能突变仍为零,使Rd为0,产生Q置0的信号,此后C两端的电压上升到Rd为1时,D触发器进入计数状态。图(b)则由于非门对开关产生的信号进行了整形而得到更好地负跳变波形。图(c)和图(d)只不过的CC4013是CMOS双D触发器,这类电路置位和复位信号是高电平有效,由于开关闭合时电容可视为短路而产生高电平,使Rd=1,Q=0;若此信号加到Sd=1,Q=1。置位、复位过后,电容充电而使Rd(Sd)变为0,电路可进入计数状态,图(e)是用开关电路产生点动脉冲,每按一次开关产生一个正脉冲,是触发器构成的计数器计数1次;图(f)是用开关电路产生负脉冲,每按一次开关产生一个负脉冲。

附录

参考文献

参考文献资料:

【1】电子电路设计技术/朱兆优,林刚勇,马善农,王海涛编著。——北京:国防工业出版社,2007.3

【2】数字电子技术项目教程/邵利群黄璟蔡成伟钱涛编著。——苏州工业职业技术学院

【3】电路分析基础/赵莉主编——江西科学技术出版色北京出版社2007.9

【4】电子线路CAD/殷庆纵李福勤主编。——北京:北京大学出版社,2009.8(21世纪全国高职高专电子信息系列实用规划教材)

【5】电子EDA技术:Multisim/刘训飞,翟红主编。——北京:北京大学出版社,2011.1(21世纪全国高职高专电子信息系列技能型规划教材)

单片机技术及应用/刘训飞,陈希主编;程雪敏,蔡成炜副主编,——北京:清华大学出版社,2010.3(高职高专计算机实用规划教材——案例驱动与项目实践)

致谢

从这次课程设计中,我秦楚的意识到,在以后的学习中,要将理论与实际紧密的联系在一起,把我们所学的理论知识用到实际当中,这是我在本次课程设计之中最大的收获。在本次论文完成之际,谨向我的课程设计论文指导老师凌璟老师致予衷心的谢意。

本次课程设计的设计过程中得到了凌老师的支持和悉心的教导,在设计论文修改阶段凌老师非常认真负责地给予关心和指导,多次给出了修改意见。再此,对给予我关心和帮助的老师和同学们表示深深的感谢,祝福你们!

出租车计费系统的设计好

1.所选题目:出租车计费器 2.设计要求:设计一个出租车计费器,能按路程计费,具体要求如下: (1)实现计费功能,计费标准为:按行驶里程计费,起步价为7.00元,并在汽车行驶2km 后按1.2元/km 计费,当里程数达到15km 后,没千米加收50%的空驶费,车辆停止和暂停时不计费。 (2)现场模拟汽车的启动、停止、暂停和换挡等状态。 (3)设计数码管显示电路,将车费和路程显示出来,各有1位小数。 3.设计原理:设计该出租车有启动键、停止键、暂停键和档位键。启动键为脉冲触发信号,当其为一个脉冲时,表示汽车已启动,并根据车速的选择和基本车速发出相应频率的脉冲(计费脉冲)以此来实现车费和路程的计数,同时车费显示起步价;当停止键为高电平时,表示汽车熄火,同时停止发出脉冲,此时车费和路程计数清零;当暂停键为高电平时,表示汽车暂停并停止发出脉冲,此时车费和路程计数暂停;档位键用于改变车速,不同的档位对应着不同的车速,同时路程计数的速度也不同。 4.模块设计:出租车计费器可分为两大模块,即控制模块和译码显示模块。系统框图如下: 5.电路符号:出租车计费器的输入信号有:计费时钟脉冲clk ;汽车启动键start ;汽车停止键stop ;档位speedup 。输出信号:7段显示控制信号seg7;小数点dp 。 6.具体设计流程: (1)根据出租车计费原理,将出租车计费部分由5个计数器来完成分别为counterA ,counterB ,counterC ,counterD ,counterE 。①计数器A 完成车费百位。②计数器B 完成车费十位和个位。③计数器C 完成车费角和分(显示时只显示角)。④计数器D 完成计数到20(完成车费的起步价)。⑤计数器E 完成模拟实现车行驶100 m 的功能。 计费时钟 档位 启动 控制模块 显示模块 暂停 停止

课程设计出租车计费器

推南呼紐厚院 电子技术课程设计报告 题 目:基于Multisum 的出租车计价器课 程设计 级:3班 指导教师:聂文艳 机械与电气工程学院制 2015年3月 学生姓名: 学生学号: 李少华 1314050317 13级 年 级: 业: 电气工程及其自动化

目录 设计任务 ....................... 1.1 设计目的和意义 . ...................... 1.1.1 目的 ................... 1.1.2 意义 ................... 1.2 初始参数和要求 . ...................... 1.2.1 74LS160 计数器的简介 1.2.2 1.2.3 1.2.4 1.2.5 系统设计 2.1 2.2 74LS85 比较器的简介 74LS283 加法器的简介 初始要求 . .......................... 所用软件工具 . .............. 2.3 系统工作原理 . .......................................... 器件选择 ............................... 2.2.1 74LS160 计数器的功能 ............. 2.2.2 74LS283 加法器组成预置数计数器 2.2.3 74LS85 比较器的组成和内部结构 2.2.4 设计所需的器件归纳 . ................................. 电路设计 . ................................................ 2.3.1 2.3.2 2.3.3 2.3.4 路程的计数及显示 ..... 路程与预设值的比较 . 加 法器的预置 . ............... 电路整体的整合 . ......... 电路仿真测试 ............................ 2.4.1 路程计数及显示 . ............................... 2.4.2 系统整体电路运行及车费的显示 . 2.4.3 仿真结论 . ......................................... 总结 ........................................ 3.1 结论 . ...................................................... 3.2 优点与不足 . .............................................. 3.3 心得与体会 .............................. 参考文献 ................................... 2.4 3 3 3 4 4 4 4 4 4 4 5 5 6 6 7 8 9 9 9 10 10 11 11 11 12 12 13 13 13 13 14

出租车计价器课程设计报告

昆明理工大学信息工程与自动化学院学生实验报告 (2012 —2013 学年第 2 学期) 课程名称:可编程逻辑器件设计开课实验室:信自楼 2013 年4月 11日

一、引言 汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。我们设计采用8086为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,输出采用8段数码显示管。 二、总体设计方案 利用8253作为定时/计数器,控制电机转速并测量电机的转数;8255作为输入输出接口,控制数码管显示启动后经过的里程数(小数点后取2位有效值)。 硬件连接说明: 直流电机控制器的基础上,增加了一个电机测速电路构成。 8255的B口作为数码管的笔划码驱动;C口的低4位作为段码控制,显示实际里程,同时,也用于输出键盘的列扫描码;PC6、PC7输入行扫描码,用于识别按键,程序中仅使用了PC6行上的四个按键,其定义如下: X1:启动/停止键;X2:加速键,与X3、X4键组合使用;X3:“+”键;X4:“-”键。 A口仅使用了PA0,输出PWM脉冲信号,通过驱动芯片驱动电机,其输出电压的高低与PWM脉冲的占空比成正比。 电机的转数,通过霍尔元件变换为脉冲个数,送入8253的通道2,计数后,将转数转换为里程数,最小单位为0.01公里,送CPU记录,结果由数码管显示出来。

数字逻辑课程设计课案教学总结

数字逻辑设计课程设计指导书 适用专业:计算机大类 湖北工业大学 计算机学院 2016年11月

目录 一、课程设计目的 (1) 二、课程设计要求 (1) 三、课程设计内容 (1) 四、设计报告的内容和要求 (3) 五、课程设计考核方法 (3) 附录一自选课题参考题目 (4) 一、数码管显示控制器 (4) 二、乒乓球游戏机 (4) 三、智力竞赛抢答器 (4) 四、数字钟 (4) 五、交通灯控制器 (5) 六、双钮电子锁 (5) 七、彩灯控制器 (5) 八、速度表 (5) 九、出租车计价器 (6) 十、自动奏乐器一 (6) 十一、自动奏乐器二 (6) 十二、自动打铃器 (6) 十三、算术运算单元ALU的设计 (7) 十四、游戏机 (7) 十五、16路数显报警器 (7) 十六、脉冲按键电话按键显示器 (7) 十七、病房呼叫系统 (8) 十八、自动电子钟 (8) 十九、具有数字显示的洗衣机时控电路 (8) 二十、篮球比赛数字计分牌 (8) 二十一、电子日历 (9) 二十二、设计模拟中央人民广播电台报时电路 (9) 二十三、数字跑表 (9) 二十四、汽车尾灯控制器 (9) 二十五、篮球竞赛30秒计时器 (9) 二十六、拔河游戏机控制器 (10) 附录二TTL集成电路型号命名规则 (11) 附录三部分TTL集成电路管脚排列图 (14)

一、课程设计目的 《数字逻辑课程设计》是计算机大类学生的必修课之一,是《数字逻辑》课程的一个重要的实践教学环节,它与理论教学和实验教学相结合,培养学生综合运用所学的基础理论和掌握的基本技能来解决实际问题的能力。 课程设计通过完成一个课题的理论设计和实际调试工作,即能加深对所学知识的理解,又能培养综合的实践技能,从而提高分析问题和解决问题的能力。训练学生综合运用学过的数字逻辑的基本知识,独立设计比较复杂的数字电路的能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 二、课程设计要求 (一)教学要求 1.巩固和加深对数字逻辑各类型电路的设计方法及电子器件所构成电路的理解,并适当拓宽学生在电子线路领域的知识面。 2.初步掌握数字电路的设计、计算方法。能根据系统的技术指标,论证、拟订设计方案;选用合适的电路形式并进行工程计算及选择电路的元器件。 3.培养独立组织实验方案、正确选择使用实验仪器的能力,提高对功能电路和系统的安装调整、测试技术,以及综合运用所学理论知识解决实际问题的能力。(二)能力培养要求 1.通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 2.通过实际电路方案的比较分析、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 3.掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 4.综合应用课程中学到的理论知识去独立完成一个设计任务。 5.培养严肃认真的工作作风和严谨的科学态度。 三、课程设计内容 从参考题目中自选1题进行资料查找和设计,具体课题及要求见附件1。 1.数码管显示控制器

推荐-基于FPGA出租车计费系统的设计 精品

南京大学金陵学院 本科毕业 院系信息科学与工程系 专业电子信息科学与技术 题目基于FPGA出租车计费系统的设计 提交日期20XX年6月3 日

摘要 通过出租车计费系统的设计,详细介绍了如何使用硬件描述语言Verilog HDL设计复杂逻辑电路的步骤和过程,以及应用美国ALTERA公司的Quartus II 9.0软件进行系统设计、仿真和下载实现的方法。通过设计,可以看到在EDA平台上用CPLD器件构成该数字系统的设计思想和实现过程。论述了计费模块、时钟模块、动态显示模块、计费形式判断模块、控制模块等的设计方法和技巧。 关键词:CPLD/FPGA; 电子自动化设计; Verilog HDL硬件描述语言; 出租车计费器; Quartus II 9.0软件

ABSTRACT Taxi billing system through the design, details how to use the hardware des-cription language Verilog HDL design plexity and the process logic and the applicatio-n of the U.S. pany's Quartus II 9.0 ALTERA software system design, simulation, anddownload the realization of the method. By design, you can see in the EDA platform usi-ng CPLD devices constitute the digital system design and implementation process. Discuss-es the billing module, clock module, dynamic display module, billing forms to judge mod-ule, control module and other design methods and techniques. Keywords: CPLD/FPGA; EDA; Verilog HDL; Taxi Billing Machine; Quartus II 9.0

出租车自动计费器设计(课程设计报告模板)

. . . 目录 1 绪论 (1) 1.1设计背景 (1) 1.2QUARTUS II简介 (1) 1.3VHDL语言基础 (2) 2 出租车计费器总体设计结构 (2) 2.1系统设计要求和目的 (2) 2.2.1 系统设计要求 (2) 2.2.1 系统设计目的 (2) 2.2设计思路 (3) 2.3系统总体结构 (3) 2.4出租车计费器系统工作流程图 (4) 3 出租车计费器的实现 (5) 3.1出租车计费器的顶层原理图 (5) 3.2系统各功能模块的实现 (5) 3.2.1 计费模块JIFEI (5) 3.2.2 计量模块JILIANG (6) 3.2.3 显示控制模块SELTIME (7) 3.2.4 显示模块DELED (7) 4 出租车计费器系统仿真及分析 (8) 4.1计费系统的仿真 (8) 4.2单元模块的仿真及分析 (10) 4.2.1 译码显示模块的仿真及分析 (10) 4.2.2 显示控制模块的仿真及分析 (12) 4.2.3 计量模块的仿真及分析 (13) 4.2.4 计费模块的仿真及分析 (13) 5 锁定管脚及硬件实现 (14) 5.1锁定管脚图 (14) 5.2硬件实现 (14) 5.2.1 显示结果的几种情况 (15) 5.2.2 硬件实现总结 (16) 6 设计体会与总结 (17) 参考文献 (18) 附录 (19) 1JILIANG模块的VHDL编程 (19) 2JIFEI模块的VHDL编程 (21) 3SELTIME控制模块的VHDL编程 (22) 4DELED模块的VHDL编程 (23)

1 绪论 1.1 设计背景 随着我国社会经济的全面发展,各大中小城市的出租车营运事业发展迅速,出租车已经成为人们日常出行选择较为普通的交通工具。于是,出租车行业以低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷困扰着行业的发展。而在出租车行业中解决这一矛盾的最好方法就是改良计价器。汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具,它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是具有一定意义的。出租车计费器是出租车营运收费的专用智能化仪表,是出租车市场规化,标准化以及减少司机与乘客之间发生纠纷的重要设备。一种功能完备,简单易用,计量准确的出租车计费器是加强出租车行业管理,提高服务质量的必需品。本设计就是采用VHDL硬件描述语言作为设计手段,采用自己的设计思路,得到一种出租车计价系统的软件结构,通过Quartus II 6.0软件下进行仿真,证明所设计的电路系统完成了出租车计价的功能,各项指标符合设计要求,具有一定的实用性。 1.2 QUARTUS II简介 QUARTUS II 是Altera公司的综合性CPLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL等多种设计输入形式,嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整CPLD设计流程。QUARTUS II 支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Altera QUARTUS II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

数字电路出租车计价器设计

时序逻辑电路课程设计 引言: 我们组选择了题目一,设计出租车计价器,通过对课本、资料的查阅,再经过构思,设计,搭建电路,仿真,得出了结果,虽然不够完美,但是也掌握了一些知识,增加了对各种原件的印象。下文将详细给出设计。 一、资料查阅 通过参考数字电路课本,以及数字电路实验书,再经过网络查阅,也参考了网上有的类似的设计,想出了电路的基本思路。 二、对于要求的实现 要求1:根据出租车上的速度传感器传来的脉冲个数和设置的里程单价来计算对应的总价格,并将总价格通过LED实时显示。 对于此要求的实现,通过查阅,我们发现了74LS160十位计数器,有预制与清零功能,能进行0-9重复计数。功能表如下 要求2:起步价可以设置:。 由于74LS160有置数功能,所以可以置数,能够要求其从一个确定的数开始计数,所以可以设置起步价。对于从要过了起步价里程才开始计数,因为每一个单位里程是一次脉冲,而芯片又是每一次脉冲才记一次数,所以应用触发器的存储功能来使前几次脉冲无法传至芯片。 要求3:里程单价可以设置。 运用比例乘法器,可以实现脉冲的改变。比如用CC4527比例乘法器,输入一个BCD 数,其输出的脉冲为输入脉冲的BCD的十分之一倍。比如速度传感器的每个脉冲为1km,单价为2元,那么就输入20的BCD数,那么比例乘法器就会输出一个2倍速度传感器脉冲的脉冲信号,以此脉冲作为后面电路的时钟信号,可以实现单价2元。其他单价以此类推,但是由于仿真软件中没有找到比例乘法器,所以仿真中没有单价设置这一项。 要求4:可以对总价格进行复位,从而为下次计费做好准备。

运用74LS160的置数功能,重新对其进行置数即可实现下次重新开始计费。 三、具体电路 时序逻辑:左下角U1为里程脉冲发生器,经过N个D锁存器的延时,才可以传至上面的74LS160芯片,芯片开始计数,显示在右上方的两位显示器上,即计程车的价钱。电路中有两个单刀单置开关,上面的S1和下面的S2。S1的作用为每次重新搭载乘客后的清零和重新显示起步价,S2的功能为开启里程传感器,为计程车进行计价。下面对电路各个部分进行解释: 1.计数部分: 用N块74LS160芯片,即可显示N位十进制数,本次仿真以2位为例,也就是只可以显示0-99元的价格。上图七段显示管U5为十位,U3为个位。如图通过开关S5进行起步价设置控制,芯片有CR和LD引脚,CR为1,LD为0时可以进行置数,入上图,设置起步价为5元。由于当有脉冲时候,才会执行置数功能,而又不能和里程传感器用同一个时钟信号,所以单独设置了一个信号源,通过S1开关,既控制LD电位,又通过与门或门非门作为选择开关控制U16信号源的信号是否输入芯片。当开关断开,LD电位为0,U16信号导通到U2芯片,执行置数功能。当S1开关闭合,CR和LD电位都为1,以里程脉冲作为时钟信号执行计数功能,从预制的数字开始计数。

基于verilog HDL的出租车计费器

《EDA技术与应用》实训报告 学号 姓名 指导教师:江国强杨艺敏 2011 年4 月28 日

实训题目:出租车计费器 1.系统设计 1.1 设计要求 1.1.1 设计任务 设计并制作一台出租车计费器。 1.1.2 技术要求 ①用EDA实训仪的I/O设备和PLD芯片实现出租车计费器的设计。 ②出租车起步开始计程和计费,计程系统按实际公里数计程,计费 系统首先显示起步价(如7.0),车行驶2km以内,只收起步价7 元。 ③出租车行驶超过2km后,按每公里1.6元在7.0元的基础上增加。 ④出租车行驶超过10km后(或超过20元路费),每公里加收50% 的车费,即车费变为每公里2.4元。 ⑤出租车达到目的地后,(用一个按钮)计程和计费数据清零,为 下一次计费开始。 1.2 方案比较 最初计费模块选择乘法,但占用资源过多,所以选择分段加法来解决 1.3 方案论证 1.3.1 总体思路 整个系统主要由计程兼计费模块组成,另外添加计时器和流水灯模块作为附加功能,最后由选择模块选择输出费用或时间,分频模块作为路程模拟输入。 1.3.2 设计方案 分频器将实训仪的时钟转换成1s或0.1s的脉冲模拟行进一公里,将脉冲输入计程兼计费模块进行路程累加和计费,同时输出路程数和费用。流水灯则按行进路程进行亮灭,附加的时钟功能可以查看时间。最后由选择模块进行费用和时间间的切换。

2.各个模块程序的设计 ①分频模块:模拟车辆行进,分1s/km档和0.1s/km档 module fpq(clk,cout,k1); input clk,k1; reg [24:0] q; output reg cout; always @(posedge clk) begin case(k1) 0: begin q=q+1; if (q==20000000-1) begin cout=1;q=0;end else cout=0; end 1: begin q=q+1 ; if (q==2000000-1) begin cout=1;q=0;end else cout=0; end

(VHDL实验报告)出租车计费器的设计

电子科技大学成都学院学院

一、实验名称 出租车计费器的设计 二、实验目的 1、了解出租车计费器的工作原理。 2、学会用V HDL 语言编写正确的七段码管显示程序。 3、数量掌握用V HDL 编写复杂功能模块。 4、进一步数量状态积在系统设计中的应用。 三、实验原理 出租车计费器一般都是按公里计费,通常是起步价 xx元(xx元可以行走x公里),然后再是x x元/公里。所以要完成一个出租车计费器,就要有两个计数单位,一个用来计公里,另外一个用来计费用。通常在出租车的轮子上都有传感器,用来记录车轮转动的圈数,而车轮子的周长是固定的,所以知道了圈数自然也就知道了里程。在这个实验中,就要模拟出租车计费器的工作过程,用直流电机模拟出租车轮子,通过传感器,可以得到电机每转一周输出一个脉冲波形。结果的显示用 8 个七段码管,前四个显示里程,后三个显示费用。 在设计VHDL程序时,首先在复位信号的作用下将所有用到的寄存器进行清零,然后开始设定到起步价记录状态,在此状态时,在起步价规定的里程里都一直显示起步价,直到路程超过起步价规定的里程时,系统转移到每公里计费状态,此时每增加一公里,计费器增加相应的费用。 为了便于显示,在编写过程中的数据用BCD码来显示,这样就不存在数据格式转换的问题。比如表示一个三位数,那么就分别用四位二进制码来表示,当个位数字累加大于9时,将其清零,同时十位数字加1,依此类推。 四、实验内容 本实验要完成的任务就是设计一个简单的出租车计费器,要求是起步价3元,准行1公里,以后1元/公里。显示部分的七段码管扫描时钟选择时钟模块的

1KHz,电机模块的跳线选择GND端,这样通过旋钮电机模块的电位器,即可达到控制电机转速的目的。另外用按键模块的S1来作为整个系统的复位按钮,每复位一次,计费器从头开始计费。直流电机用来模拟出租车的车轮子,没转动一圈认为是行走1米,所以每旋转1000 圈,认为车子前进1公里。系统设计是需要检测电机的转动情况,每转一周,计米计数器增加 1。七段码管显示要求为前 4个显示里程,后3个显示费用。 五、实验步骤 1、打开Q UARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个V HDL File,打开V HDL 编辑器对话框。 3、按照实验原理和自己的想法,在V HDL 编辑窗口编写V HDL 程序。其 程序如下所示:

出租车计价器 课程设计报告

软件学院 课程设计报告 课程 题目出租车计价器 班级集成13-4 专业集成电路设计与集成系统 学生学号 指导教师(填写自己班的指导教师) 年月日 1.课程设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的组合逻

辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 2.课程设计题目描述和要求 2.1.课程设计题目描述 ①.实现计费功能,计费标准为:按行驶里程计费,起步价为7.0元,并在车行3km 后按2.2元/km 计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。 ②.现场模拟功能:以开关或按键模拟公里计数,能模拟汽车起动、停止、暂停等状态。 ③.将车费和路程显示出来,各有一位小数。 2.2.总体设计思路框架 2.3.课程设计题目要求 ①.设计正确,方案合理。 ②.程序精炼,结构清晰。 ③.设计报告含程序设计说明,用户使用说明,源程序清单及程序框图。 ④.上机演示。 ⑤.有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 3.设计思想和设计内容 3.1 出租车计费设计

该出租车计费器按下开关S1后开始计费和计里程数,起步价是7元,行驶3公里,以后2.2元/公里。并且设计选择模块,在车费超过20元每公里加收50%车费即3.3元/公里。 3.2 基本设计思想 出租车计费器根据设计要求可初步分为3方面——显示、记里程数、记费。之后再根据三方面分别设计模块。1.显示模块。一般计数器显示数字为1-F即16进制,而16进制不方便观看,所以在设计这一模块时我们将16进制改为10进制输出进而设计了译码模块。2、里程模块。设计要求对里程计数主要分为两个方面,计数以及公里数比较。即3公里之内收7元,3公里之后20元(通过计算为9公里)以内每公里2.2元,9公里以外为每公里3.3元。所以,我们将里程模块分为里程计数模块以为比较模块。3.计费模块。计费模块同里程比较模块所以将两个模块合二为一,为价格计算模块。 4.Verilog代码 4.1顶层模块 module taxi( clk,stop,rst_n, time1,time2,time3,time4, seg1,seg2,seg3,seg4 ); input clk; input stop; input rst_n; output [6:0]time1; output [6:0]time2; output [6:0]time3; output [6:0]time4;

基于数电设计出租车里程计价器

电子技术课程设计报告题目:出租车里程计价器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月

出租车计价器课程设计 机械与电气工程学院自动化 1设计的任务与要求 1.1课程设计任务 (1)能够实现计程功能 (2)实现计费功能,计费标准为:按行驶里程收费,起步费为6.0元,并在车行3公里后再按2.0 元/公里,最高计费为99.9元,车停止不计费,能将车费显示出来。 1.2初始参数和要求 (1)74LS160计数器的简介 74LS160 是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器,共有54/74160 和54/74LS160 两种线路结构型式。 (2)74LS85比较器的简介 74LS85比较器是一个4位数值比较器,它是由高位开始比较,逐位进行。若最高位已比较出大小,则以后各位大小都对比较结果没有影响;如果最高位相等,则比较次高位;同理,次高位已比较出大小,则以后各位大小对结果没有影响。如果4位比较都相等,则再看级联信号输入。级联输入信号是由低位比较器的输出而来。 (3)74LS283加法器的简介 74LS加法器是4为超前进位加法器,能够实现两个数值信号的相加。 (4)初始要求 电路能够实现初始设定,起步费为6.0元,并在车行3公里后再按2.0 元/公里计算,车停止不计费,能将路程及车费显示出来。 2出租车里程计价器设计方案制定 2.1系统工作原理 本设计方案系统的总体工作原理如图1所示。按行驶里程收费,起步费为6.0元,并在车行3公里后再按2.0 元/公里,最高计费为99.9元,车停止不计费,将路程及车费显示出来。

出租车计费系统的设计 毕业设计论文

目录 摘要 (1) 第1章绪论 (2) 第2章系统设计 (3) 2.1系统组成 (3) 2.1.1 计费及显示 (3) 2.1.2 时钟及显示 (3) 2.1.3 计费开始提示 (1) 2.2功能模块设计 (1) 第3章硬件及软件实现 (3) 3.1传感器 (3) 3.1.1 光电传感器电路设计 (3) 3.1.2车轮光电开关检测电路 (4) 3.2单片机 (4) 3.2.1 8051 单片机功能方块图 (5) 3.2.2 AT89S51单片机简介 (7) 3.2.3 AT89S5l与51系列单片机相比具有如下特点 (8) 3.2.4 89S51的内部框图 (9) 3.2.5 中断控制 (11) 3.2.6 单片机控制程序设计 (12) 3.2.7 单片机汇编语言源程序 (16) 3.3显示及按键控制系统 (31) 3.3.1 LED数码管 (31) 3.3.2 数据显示电路的设计 (32) 第4章系统检测及分析 (34) 4.1系统仿真/硬件验证 (34) 4.1.1 系统的调试方法 (34) 4.1.2 系统的硬件验证 (34) 4.2设计技巧分析 (35) 结论 (36) 附录A: FPGA芯片引脚 (37)

摘要 本系统电源电压为+16V,速度传感器具有汽车每运行1㎞提供1000个脉冲信号的特性。显示器可以采用LED数码管。系统采用单片机和FPGA的结合进行系统的主体设计,测控FPGA芯片通过采集传感器脉冲信号WCLK进行里程计算,里程计费,利用外部脉冲信号SCLK产生标准时钟信号,以计算等待时间,等待费用,并产生里程标志(LCBZ),等待标志(DDBZ),熄灯标志(XDBZ)等有关控制标志信号,同时根据单片机发出的开始信号(START),时段标志(SDBZ),传输数据选择(SEL)等控制信号将有关计算结果传送给FPGA芯片。单片机MCU除了完成键盘扫描,显示控制外,还通过P0口与FPGA进行数据交换,并向测控FPGA芯片发出有关控制信号。本设计方案利用单片机和FPGA的结合,发挥它们各自的长处,分工清晰,实际使用和操作符合大众逻辑,容易被人接受。而且,单片机丰富的I/O口和FPGA 模块化的设计为系统功能的扩展提供了空间和便利。 关键词计费系统,单片机,FPGA芯片

数字电路设计 出租车计费器

出租车计费器 一、实验目的 1.了解各元件的基本原理。 2.数字电子技术知识综合运用。 3.学习电路调试的基本结论,巩固扩大所学的知识。 4.熟悉Multisim10基本运用。 二、组要实验器材 三、设计任务 出租车自动计费器是根据客户用车的实际情况而自动计算、显示车费的数字表。数字表根据用车起步价、行车里程计费及等候时间计费三项显示客户用车总费用,打印单据,还可设置起步、停车的音乐提示或语言提示。 1.自动计费器具有行车里程计费、等候时间计费和起步费三部分,三项计费统一用4位数码管显示,最大金额为99.99元。 2.行车里程单价设为1.80元/km,等候时间计费设为1.5元/10分钟,起步费设为8.00元。要求行车时,计费值每公里刷新一次;等候时每10分钟刷新一次;行车不到1km或等候不足10分钟则忽略计费。 3.在启动和停车时给出声音提示。 四、设计方案 因为出租车计费器的设计方案不止一种,我们就就涉及数电知识采用计数器电路为主实现自动计费。

分别将行车里程、等候时间都按相同的比价转换成脉冲信号,然后对这些脉冲进行计数,而起价可以通过预置送入计数器作为初值,如图1的原理框图所示。行车里程计数电路每行车1km输出一个脉冲信号,启动行车单价计数器输出与单价对应的脉冲数,例如单价是1.80元/km,则设计一个一百八十进制计数器,每公里输出180个脉冲到总费计数器,即每个脉冲为0.01元。等候时间计数器将来自时钟电路的秒脉冲作六百进制计数,得到10分钟信号,用10分钟信号控制一个一百五十进制计数器(等候10分钟单价计数器)向总费计数器输入150个脉冲。这样,总费计数器根据起步价所置的初值,加上里程脉冲、等候时间脉冲即可得到总的用车费用。 图1 出租车计费器原理框图一 上述方案中,如果将里程单价计数器和10分钟等候单价计数器用比例乘法器完成,则可以得到较简练的电路。它将里程脉冲乘以单价比例系数得到代表里程费用的脉冲信号,等候时间脉冲乘以单位时间的比例系数得到代表等候时间的时间费用脉冲,然后将这两部分脉冲求和。 如果总费计数器采用BCD码加法器,即利用每计满1km的里程信号、每等候10分钟的时间信号控制加法器加上相应的单价值,就能计算出用车费用。

出租车计费系统的设计

摘要

The design of taxi billing system Abstract The design is for a multi-function taxi meter billing system. It can complete a variety of billing functions and can be charged by one kilometer. The fare and distance will be displayed by dynamic scanning circuit. This design uses hardware description language VHDL. It researches on the EDA software platform and the design uses the software of Quartus II as a development platform, using top-down design method. This taxi meter billing system based on FPGA is made up of four basic blocks. The main chip of the system is EP1K100QC208-3 which is made by the company of ALTERA .The system is made up of frequency module, mileage count module, billing module and dynamic scanning module. The program we designed can be compiled and simulation, then it can be downloaded to the FPGA / CPLD chip. The results show that the system can complete a variety of fare functions and will be displayed at the same time. It’s also able to simulate the taxi start, stop and suspend state. Keyword:Billing system; hardware description language; FPGA; display

出租车计费器EDA

南京理工大学 EDA 设计(II) 实验报告 作者:____________________ 学号:_________________ 学院(系): ___________ 自动化学院_______________ 专业:____________________ 自动化_________________ 标题___________________ 出租车计费器______________ 指导老师: 实验日期:2015 年9月

目录 1 绪论1 2 系统总体设计1 2.1 系统设计思想1 2.2 系统功能概述1 2.3 系统总体组成结构1 3 系统各模块详细设计2 3.1 计时模块2 3.2 按键控制模块2 3.3 动态显示模块3 3.4 里程和车费计算模块4 3.5 我的部分4 4 系统调试5 4.1 系统仿真5 4.2 系统实际验证5 4.3 调试过程中遇到的问题与解决方法5 5 总结与心得体会 6 致谢6 参考文献7

出租车计费器 1 绪论 EDA是电子设计自动化(Electronic Design Automation )的缩写,发展于20世纪90年代。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 本次EDAS计使用MAX+plus II实验开发系统,学习并掌握VHD硬件描述语言 的基本语法以及设计方法和思想,将已学过的数字电子设计知识综合运用于电子系统的设计中,掌握运用VHDL设计电子系统的流程和方法,加强和培养自己对电子系统的设计能力。 综合设计的任务是用一周的时间,在EDA软件MAX+plus II上用VHD语言设计完成一个电子技术综合问题,小组成员分工,负责各个子模块的设计,最后将各个模块整合起来,连接整体电路,分配引脚,下载到实验班上进行测试。 2系统总体设计 2.1 系统设计思想 用VHDL语言设计出符合功能要求的的出租车计费器系统,完成设计后使用系统实验箱下载验证。 2.2 系统功能概述 1、计费功能:计费标准为按行驶里程收费,起步价为11元,车行3公里后每公里1.4元,车停止时不计费; 2、实现模拟功能:能模拟汽车启动、暂停及停止状态; 3、实现显示功能:能在数码管上显示出公里数及总费用。

出租车自动计费器设计(课程设计报告模板)课件

目录 1 绪论 (1) 1.1设计背景 (1) 1.2QUARTUS II简介 (1) 1.3VHDL语言基础 (2) 2 出租车计费器总体设计结构 (2) 2.1系统设计要求和目的 (2) 2.2.1 系统设计要求 (2) 2.2.1 系统设计目的 (2) 2.2设计思路 (3) 2.3系统总体结构 (3) 2.4出租车计费器系统工作流程图 (4) 3 出租车计费器的实现 (5) 3.1出租车计费器的顶层原理图 (5) 3.2系统各功能模块的实现 (5) 3.2.1 计费模块JIFEI (5) 3.2.2 计量模块JILIANG (6) 3.2.3 显示控制模块SELTIME (7) 3.2.4 显示模块DELED (7) 4 出租车计费器系统仿真及分析 (8) 4.1计费系统的仿真 (8) 4.2单元模块的仿真及分析 (10) 4.2.1 译码显示模块的仿真及分析 (10) 4.2.2 显示控制模块的仿真及分析 (11) 4.2.3 计量模块的仿真及分析 (12) 4.2.4 计费模块的仿真及分析 (12) 5 锁定管脚及硬件实现 (13) 5.1锁定管脚图 (13) 5.2硬件实现 (13) 5.2.1 显示结果的几种情况 (14) 5.2.2 硬件实现总结 (15) 6 设计体会与总结 (16) 参考文献 (17) 附录 (18) 1JILIANG模块的VHDL程序 (18) 2JIFEI模块的VHDL程序 (19) 3SELTIME控制模块的VHDL程序 (20) 4DELED模块的VHDL程序 (21)

1 绪论 1.1 设计背景 随着我国社会经济的全面发展,各大中小城市的出租车营运事业发展迅速,出租车已经成为人们日常出行选择较为普通的交通工具。于是,出租车行业以低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷困扰着行业的发展。而在出租车行业中解决这一矛盾的最好方法就是改良计价器。汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具,它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是具有一定意义的。出租车计费器是出租车营运收费的专用智能化仪表,是出租车市场规范化,标准化以及减少司机与乘客之间发生纠纷的重要设备。一种功能完备,简单易用,计量准确的出租车计费器是加强出租车行业管理,提高服务质量的必需品。本设计就是采用VHDL硬件描述语言作为设计手段,采用自己的设计思路,得到一种出租车计价系统的软件结构,通过Quartus II 6.0软件下进行仿真,证明所设计的电路系统完成了出租车计价的功能,各项指标符合设计要求,具有一定的实用性。 1.2 QUARTUS II简介 QUARTUS II 是Altera公司的综合性CPLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整CPLD设计流程。QUARTUS II 支持Altera 的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Altera QUARTUS II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

出租车计费器的设计

电子科技大学成都学院学院 标准实验报告 (实验)课程名称数字电路EDA设计与应用 姓名乱弹的枇杷 学号1240830 专业电气工程及其自动化 指导教师张

一、实验名称 出租车计费器的设计 二、实验目的 1、了解出租车计费器的工作原理。 2、学会用V HDL 语言编写正确的七段码管显示程序。 3、数量掌握用V HDL 编写复杂功能模块。 4、进一步数量状态积在系统设计中的应用。 三、实验原理 出租车计费器一般都是按公里计费,通常是起步价 xx元(xx元可以行走x公里),然后再是x x元/公里。所以要完成一个出租车计费器,就要有两个计数单位,一个用来计公里,另外一个用来计费用。通常在出租车的轮子上都有传感器,用来记录车轮转动的圈数,而车轮子的周长是固定的,所以知道了圈数自然也就知道了里程。在这个实验中,就要模拟出租车计费器的工作过程,用直流电机模拟出租车轮子,通过传感器,可以得到电机每转一周输出一个脉冲波形。结果的显示用 8 个七段码管,前四个显示里程,后三个显示费用。 在设计VHDL程序时,首先在复位信号的作用下将所有用到的寄存器进行清零,然后开始设定到起步价记录状态,在此状态时,在起步价规定的里程里都一直显示起步价,直到路程超过起步价规定的里程时,系统转移到每公里计费状态,此时每增加一公里,计费器增加相应的费用。 为了便于显示,在编写过程中的数据用BCD码来显示,这样就不存在数据格式转换的问题。比如表示一个三位数,那么就分别用四位二进制码来表示,当个位数字累加大于9时,将其清零,同时十位数字加1,依此类推。 四、实验内容 本实验要完成的任务就是设计一个简单的出租车计费器,要求是起步价3元,准行1公里,以后1元/公里。显示部分的七段码管扫描时钟选择时钟模块的

相关文档
相关文档 最新文档