文档库 最新最全的文档下载
当前位置:文档库 › 半导体专业用语

半导体专业用语

金属前介质层(PMD)金属间介质层(IMD)W塞(W PLUG)

钝化层(Passivation)

acceptor 受主,如B,掺入Si中需要接受电子Acid:酸

actuator激励

ADI After develop inspection显影后检视

AEI After etching inspection蚀科后检查

AFM atomic force microscopy 原子力显微

ALD atomic layer deposition 原子层淀积

Align mark(key):对位标记

Alignment 排成一直线,对平

Alloy:合金

Aluminum:铝

Ammonia:氨水

Ammonium fluoride:NHF

Ammonium hydroxide:NHOH

Amorphous silicon:α-Si,非晶硅(不是多晶硅)amplifier 放大器

AMU 原子质量数

Analog:模拟的

analyzer magnet 磁分析器

Angstrom:A(E-m)埃

Anisotropic:各向异性(如POLY ETCH)Antimony(Sb)锑

arc chamber 起弧室

ARC:anti-reflect coating 防反射层

Argon(Ar)氩

Arsenic trioxide(AsO)三氧化二砷

Arsenic(As)砷

Arsine(AsH)

ASHER 一种干法刻蚀方式

Asher:去胶机

ASI 光阻去除后检查

ASIC 特定用途集成电路

Aspect ration:形貌比(ETCH中的深度、宽度比)A TE 自动检测设备

Back end:后段(CONTACT以后、PCM测试前)Backside Etch 背面蚀刻

Backside 晶片背面

Baseline:标准流程

Beam-Current 电子束电流

Benchmark:基准

BGA ball grid array 高脚封装Cassette 装晶片的晶舟

CD:critical dimension 关键性尺寸,临界尺寸Chamber 反应室

Chart 图表

Child lot 子批

chiller 制冷机

Chip (die) 晶粒

Chip:碎片或芯片。

clamp 夹子

CMP 化学机械研磨

Coater 光阻覆盖(机台)

Coating 涂布,光阻覆盖

Computer-aided design(CAD):计算机辅助设计。Contact Hole 接触窗

Control Wafer 控片

Correlation:相关性。

Cp:工艺能力,详见process capability。

Critical layer 重要层

CVD 化学气相淀积

Cycle time 生产周期

Defect density:缺陷密度。单位面积内的缺陷数。Defect 缺陷

DEP deposit 淀积

Depth of focus(DOF):焦深。

Descum 预处理

Developer 显影液;显影(机台)

developer:Ⅰ)显影设备;Ⅱ)显影液Development 显影

DG dual gate 双门

DI filter 离子交换器

DI water 去离子水

Diffusion 扩散

disk 靶盘

disk/flag faraday 束流测量器

Doping 掺杂

Dose 剂量

Downgrade 降级

DRC design rule check 设计规则检查

Dry Clean 干洗

Due date 交期

Dummy wafer 挡片

E/R etch rate 蚀刻速率

EE 设备工程师

ELS extended life source 高寿命离子源enclosure 外壳

Bipolar:双极

Boat:扩散用(石英)舟

BPSG 含有硼磷的硅玻璃

Break 中断,stepper机台内中途停止键cassette 晶片盒

End Point 蚀刻终点

e-shower 中性化电子子发生器

ET etch 蚀刻

Exhaust 排气(将管路中的空气排除)Exposure 曝光

extrantion electrode 高压吸极

FAB 工厂

fab:常指半导体生产的制造工厂。

FIB focused ion beam 聚焦离子束

Field Oxide 场氧化层

filament 灯丝

film:薄膜,圆片上的一层或

多层迭加的物质。

flat aligener 平边检测器

flat:平边

flatband capacitanse:平带电容

flatband voltage:平带电压

Flatness 平坦度

flow coefficicent:流动系数

flow velocity:流速计

flow volume:流量计

flux:单位时间内流过给定面积的颗粒数Focus 焦距

forbidden energy gap:禁带

Foundry 代工

four-point probe:四点探针台

FSG 含有氟的硅玻璃

functional area:功能区

Furnace 炉管

gate oxide:栅氧

glass transition temperature:

玻璃态转换温度

GOI gate oxide integrity 门氧化层完整性gowning:净化服

gray area:灰区

gyro drive 两方向偏转

hard bake:后烘,坚烘,soft bake (软烘) HCI hot carrier injection 热载流子注入HDP:high density plasma

高密度等离子体

heat exchange 热交换机

High-V oltage 高压ICP inductive couple plasma

感应等离子体

ID 辨认,鉴定

IGBT 绝缘门双极晶体管

images:去掉图形区域的版

implant 注入

Implant 植入

impurity n 掺杂

impurity:杂质

inductive coupled

plasma(ICP):感应等离子体

inert gas:惰性气体

initial oxide:一氧

insulator:绝缘

isolated line:隔离线

junction 结

junction spiking n 铝穿刺

kerf 划片槽

landing pad n PAD

Layer 层次

LDD lightly doped drain

轻掺杂漏

liner drive 直线往复运动

lithography n 制版

loadlock valve 靶盘腔装片阀

Local defocus 局部失焦因机

台或晶片造成之脏污

LOCOS local oxidation

of silicon 局部氧化

Loop 巡路

Lot 批

LP(低压)淀积多晶硅(LPPOLY)

mainframe 主机

maintainability, equipment

设备产能

maintenance n 保养

majority carrier n

多数载流子

Mask (reticle) 光罩

masks, device series of n

一成套光刻版

material n 原料

matrix n 矩阵

mean n 平均值

measured leak rate n 测得漏率

median n 中间值

memory n 记忆体

Merge 合并

metal n 金属

Metal Via 金属接触窗

MFG 制造部

Mid-Current 中电流

Module 部门

nanometer (nm) n :纳米

nanosecond (ns) n :纳秒

NIT SiN 氮化硅

nitride etch n :氮化物刻蚀

nitrogen (N ) n:氮气,一种双原子

气体

Non-critical 非重要

NP n-doped plus(N+) N型重掺杂

n-type adj :n型

NW n-doped well N阱

OD oxide definition 定义氧化层

ohms per square n:欧姆每平方方

块电阻

OM optic microscope 光学显微镜

OOC 超出控制界线

OOS 超出规格界线

orientation n:晶向,一组晶列所指

的方向

Over Etch 过蚀刻

Over flow 溢出

overlap n :交迭区

Overlay 测量前层与本层之间曝光

的准确度

OX SiO 二氧化硅

P poly 多晶硅

PA; passivation 钝化层

Parent lot 母批

Particle 含尘量/微尘粒子

PH photo 黄光或微影

phosphorus (P) n :磷,一种有毒

的非金属元素

photomask n :光刻版,用于光刻的

photomask, negative n:反刻

photomask, positive n:正刻

Pilot 实验的

PVD 物理气相淀积

PW p-doped well P阱

quad rupole lens 磁聚焦透镜

quartz carrier n 石英舟。

Queue time 等待时间

内层介电层(ILD)、

内金属介电层(IMD)

host:主机

Hot bake 烘烤

hot carriers:热载流子hydrophilic:亲水性hydrophobic:疏水性

pn junction n:pn结

Pod 装晶舟与晶片的盒子Polymer 聚合物

POR Process of record

post accel 后加速器Plasma 电浆PMD premetal dielectric 电容

PP p-doped plus(P+) P型重掺杂PR Photo resisit 光阻

PR photo resist 光阻

pure water n 纯水。

半导体生产中所用之水。

PVD 物理气相淀积

PW p-doped well P阱

quad rupole lens 磁聚焦透镜quartz carrier n 石英舟。

Queue time 等待时间

QTIME-DUMMY:从此步骤到

下一个步骤一共停留的时间范

围(超出范围会出问题)

显影前烘焙(PEB):降低或消除驻波效应

R/C runcard 运作卡

SOG是一种相当简易的平坦化技术。因为介电层材料是以溶剂的形态覆盖在硅片表面,因此

SOG对高低起伏外观的“沟

填能力”非常好,可以避免纯粹

以CVD法制作介质层时所面

临的孔洞问题

Spacer :SPACER工艺是通

过LPTEPS ETCH BACK ,

在PLOY侧壁形成两个侧壁

突出的工艺,用于源漏区注

入的自对准和减少由于源

漏横向扩散形成的沟道效应。

LPTEOS主要用于SPACER及

电容氧化层。

TEOS = Si(O C2H5)4

名称:正硅酸乙脂,又称BIST,Built-in Self Test 内建的自测试

Bus Route 总线布线

Carbide碳

circuit diagram 电路图

Circuit 电路基准

Clementine 专用共形开线设计

Cluster Placement 簇布局

CM 合约制造商

COF Chip On FPC 将IC固定于柔性线路板上

COG Chip On Glass 将芯偏固定于玻璃上

Common Impedance 共模阻抗

component video - 分量视频

Composite video - 复合视频

Concurrent 并行设计

Constant Source 恒压源

Cooper Pour 智能覆铜

Crosstalk 串扰

CRT Cathode Radial Tude 阴极射线管

DC Magnitude 直流幅度

Delay 延时

Delays 延时

Design for Testing 可测试性设计

Designator 标识

DOF焦深Depth Of Focus,区分IDOF、UDOF

前者只有中心,后者包括四角

DFC,Design for Cost 面向成本的设计

DFR,Design for Reliability 面向可靠性的设计

DFT,Design for Test 面向测试的设计

DPI Dot Per Inch 点每英寸

DSM,Dynamic Setup Management 动态设定管理

DVI Digital Visual Interface (VGA)数字接口

Dynamic Route 动态布线

Electro Dynamic Check 动态电性能分析

Electromagnetic Disturbance 电磁干扰

Electromagnetic Noise 电磁噪声

EMC,Elctromagnetic Compatibilt 电磁兼容

EMI,Electromagnetic Interference 电磁干扰

Emulation 硬件仿真

Ensemble 多层平面电磁场仿真

ESD 静电释放

Expansion膨胀

Fall Time 下降时间

False Clocking 假时钟

FEP 氟化乙丙烯

FFT,Fast Fourier Transform 快速傅里叶变换

Float License 网络浮动

Frequency Domain 频域

Ground Bounce 地弹反射

GUI,Graphical User Interface 图

形用户界面

Harmonica 射频微波电路仿真

HFSS 三维高频结构电磁场仿真

HMDS (六甲基二硅胺):涂胶前

处理,增加圆片衬底与光刻胶的

粘附性

IC Integrate Circuit 集成电路

Image Fiducial 电路基准

Impedance 阻抗

In-Circuit-Test 在线测试

Initial V oltage 初始电压

Input Rise Time 输入跃升时间

Inverter - 逆变器

Jumper 跳线

LCD Liquid Crystal Display 液晶

显示

LCM Liquid Crystal Module 液

晶模块

LED Light Emitting Diode 发光

二极管

Linear Design Suit 线性设计软件

Local Fiducial 个别基准

manufacturing 制造业

MCMs,Multi-Chip Modules 多芯

片组件

MDE,Maxwell Design

Environment

Merge 合并

MFG 制造部

Nonlinear Design Suit 非线性设

计软件包

NVT:N MOS 调阈值电压

ODB++ Open Data Base 公开数

据库

OEM 原设备制造商

OLE Automation 目标连接与嵌

On-line DRC 在线设计规则检查

ONO:氧化层-氮化层-氧化层介

质;用作电容介质

Optimetrics 优化和参数扫描

OSD On Screen Display 在屏上

显示Overshoot 过冲

PAC感光化合物

Panel fiducial 板基准

四乙氧基硅烷

Si(O C2H5)4 →

SiO2 +4C2H4 +2H2O

它的设备结构和LPSi3N4

基本类似。

Under Etch 蚀刻不足

USG undoped 硅玻璃

vacuum 真空。

vaporizer 蒸发器

W (Tungsten) 钨

watt(W) 瓦。能量单位。

WEE 周边曝光

well 阱。

wet chemical etch 湿法化学腐蚀。wrench 扳手

专业术语

A/D [军] AnalogDigital, 模拟/数字

AC Magnitude 交流幅度

AC Phase 交流相位

Accuracy 精度

"Activity Model

Activity Model 活动模型"

Additive Process 加成工艺

Adhesion 附着力

Aggressor 干扰源

Analog Source 模拟源

AOI,Automated Optical Inspection

自动光学检查

ASIC特殊应用集成电路

Assembly V ariant 不同的

装配版本输出

Attributes 属性

AXI,Automated X-ray

Inspection 自动X光检查

Backlight - 背光

PDP Plasma Display Panel 等离子显示屏Period 周期

Periodic Pulse Source 周期脉冲源Phase Alrernating Line

PAL制式(逐行倒相制式)

Physical Design Reuse 物理设计可重复PI,Power Integrity 电源完整性

Piece-Wise-linear Source 分段线性源POD 装晶舟和晶片的盒子TM top mental 顶层金属层

Undershoot 下冲

Uniform Distribution 均匀分布

V ariant 派生

VDMOS( V ertical conduction Double scattering Metal Oxide Semiconductor)垂直导电双扩散型晶体管

VGA Video Graphic Anay 视频图形陈列

VIA-V endor Integration Alliance 程序框架联盟

Victim 被干扰对象

Video On Demand 视频点播

Virtual System Prototype 虚拟系统原型

WEE 周边曝光

Wizard 智能建库工具,向导

Yield 良率

Trichloromethane (TCA)(CLCCH) 有毒、不易燃、有刺激性气味的液态溶剂。这种混合物不溶于水但溶于酒精和大气。

AAS atomic absorptions spectroscopy 原子吸附光谱

Acceptance testing (WA T wafer acceptance testing)

ACCESS:一个EDA(Engineering Data Analysis)系统

Active device:有源器件,如MOS FET(非线性,可以对信号放大)

Alloy 融合:电压与电流成线性关系,降低接触的阻值

AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)

ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)

CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。

Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。

Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。

Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。

CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。

Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。

CMOS:complementary metal oxide semiconductor的缩写。一种将PMOS和NMOS在同一个硅衬底上混合制造的工艺。

Compensation doping:补偿掺杂。向P型半导体掺入施主杂质或向N型掺入受主杂质。

Conductivity type:传导类型,由多数载流子决定。在N型材料中多数载流子是电子,在P型材料中多数载流子是空穴。

Contact:孔。在工艺中通常指孔,即连接铝和硅的孔。

Control chart:控制图。一种用统计数据描述的可以代表工艺某种性质的曲线图表。

Preview 输出预览

PSG 硼硅玻璃

Pulse Width 脉冲宽度

Pulsed V oltage 脉冲电压

Quiescent Line 静态线

Radial Array Placement

极坐标方式的元件布局

Reflection 反射Refractive折射

Reticle 光罩Reuse 实现设计重用

Rise Time 上升时间

Rnging 振荡,信号的振铃

Rounding 环绕振荡

Rules Driven 规则驱动设计

Runcard 运作卡

Sax Basic Engine 设计系统中嵌入

Scratch 刮伤

SDE,Serenade Design Environment

SDT,Schematic Design Tools

电路原理设计工具

SDG:源漏栅,

如SDG曝光,就是经过一次性曝光

得到源漏栅

Setting 设置

Settling Time 建立时间

Shape Base 以外形为基础的无网格布线

Shove 元器件的推挤布局

SI,Signal Integrity 信号完整性

Simulation 软件仿真

Sketch 草图法布线

Skew 偏移Slew Rate 斜率

Solvent 溶剂

SPC,Statictical Process Control 统计过程控制

Spin 旋转

Split/Mixed Layer 多电源/地线的自动分隔

Sputter 溅射SSO 同步交换

Strip 湿式刻蚀法的一种

Symphony 系统仿真

TCP Tape Carrier Package 柔性线路板

TFT Thin Film Transistor 薄膜晶体管

Time domain 时域

Timestep Setting 步进时间设置

Cycle time:圆片做完某段工艺或设定工艺段所需要的时间。通常用来衡量流通速度的快慢。

Damage:损伤。对于单晶体来说,有时晶格缺陷在表面处理后形成无法修复的变形也可以叫做损伤。

Depletion implant:耗尽注入。一种在沟道中注入离子形成耗尽晶体管的注入工艺。(耗尽晶体管指在栅压为零的情况下有电流流过的晶体管。)

Depletion layer:耗尽层。可动载流子密度远低于施主和受主的固定电荷密度的区域。

Depletion width:耗尽宽度。中提到的耗尽层这个区域的宽度。

Deposition:淀积。一种在圆片上淀积一定厚度的且不和下面层次发生化学反应的薄膜的一种方法。

design of experiments (DOE):为了达到费用最小化、降低试验错误、以及保证数据结果的统计合理性等目的,所设计的初始工程批试验计划。

develop:显影(通过化学处理除去曝光区域的光刻胶,形成所需图形的过程)

diborane (BH):乙硼烷,一种无色、易挥发、有毒的可燃气体,常用来作为半导体生产中的硼源

dichloromethane (CHCL):二氯甲,一种无色,不可燃,不可爆的液体。

dichlorosilane (DSC):二氯甲硅烷,一种可燃,有腐蚀性,无色,在潮湿环境下易水解的物质,常用于硅外延或多晶硅的成长,以及用在沉积二氧化硅、氮化硅时的化学气氛中。

die:硅片中一个很小的单位,包括了设计完整的单个芯片以及芯片邻近水平和垂直方向上的部分划片槽区域。dielectric:Ⅰ)介质,一种绝缘材料;Ⅱ)用于陶瓷或塑料封装的表面材料,可以提供电绝缘功能。

diffused layer:扩散层,即杂质离子通过固态扩散进入单晶硅中,在临近硅表面的区域形成与衬底材料反型的杂质离子层。

disilane (SiH):乙硅烷,一种无色、无腐蚀性、极易燃的气体,燃烧时能产生高火焰,暴露在空气中会自燃。在生产光电单元时,乙硅烷常用于沉积多晶硅薄膜。

drive-in:推阱,指运用高温过程使杂质在硅片中分布扩散。

dry etch:干刻,指采用反应气体或电离气体除去硅片某一层次中未受保护区域的混合了物理腐蚀及化学腐蚀的工艺过程。

effective layer thickness:有效层厚,指在外延片制造中,载流子密度在规定范围内的硅锭前端的深度。

EM:electromigration,电子迁移,指由通过铝条的电流导致电子沿铝条连线进行的自扩散过程。

epitaxial layer:外延层。半导体技术中,在决定晶向的基质衬底上生长一层单晶半导体材料,这一单晶半导体层即为外延层。

equipment downtime:设备状态异常以及不能完成预定功能的时间。

ESD electrostatic discharge/electrostatic damage 静电离子损伤

etch:腐蚀,运用物理或化学方法有选择的去除不需的区域。

exposure:曝光,使感光材料感光或受其他辐射材料照射的过程。

feature size:特征尺寸,指单个图形的最小物理尺寸。

field-effect transistor(FET):场效应管。包含源、漏、栅、衬四端,由源经栅到漏的多子流驱动而工作,多子流由栅下的横向电场控制。

grazing inc idence interferometer:切线入射干涉仪

heteroepitaxy:单晶长在不同材料的衬底上的外延方法

high-current implanter:束电流大于ma的注入方式,用于批量生产

hign-efficiency particulate air(HEPA) filter:高效率空气颗粒过滤器,去掉%的大于um的颗粒

HMDS Hexamethyldisilazane,经去水烘烤的晶片,将涂上一层增加光阻与晶片表面附着力的化合物,称HMDS oxidation n :氧化,高温下氧气或水蒸气与硅进行的化学反应

PE process engineer; plasma enhance 、工艺工程师、等离子体增强

pilot n :先行批,用以验证该工艺是否符合规格的片子

plasma n :等离子体,用于去胶、刻蚀或淀积的电离气体

plasma-enhanced chemical vapor deposition (PECVD) n:等离子体化学气相淀积,低温条件下的等离子淀积工艺plasma-enhanced TEOS oxide deposition n:TEOS淀积,淀积TEOS的一种工艺

pocked bead n:麻点,在X下观察到的吸附在低压表面的水珠

polarization n:偏振,描述电磁波下电场矢量方向的术语

polycide n:多晶硅/金属硅化物,解决高阻的复合栅结构

polycrystalline silicon (poly) n:多晶硅,高浓度掺杂(>E)的硅,能导电。

prober n 探针。在集成电路的电流测试中使用的一种设备,用以连接圆片和检测设备。

process control n 过程控制。半导体制造过程中,对设备或产品规范的控制能力。

proximity X-ray n 近X射线:一种光刻技术,用X射线照射置于光刻胶上方的掩膜版,从而使对应的光刻胶暴光。quantum device n 量子设备。一种电子设备结构,其特性源于电子的波动性。

rapid thermal processing (RTP) n 快速热处理(RTP)。

recipe n 菜单。生产过程中对圆片所做的每一步处理规范。

scanning electron microscope (SEM) n 电子显微镜(SEM)。

SEM:scanning electron microscope 扫描式电子显微镜

semiconductor n 半导体。电导性介于导体和绝缘体之间的元素。

sheet resistance (Rs) (or per square) n 薄层电阻。一般用以衡量半导体表面杂质掺杂水平。

silicon on sapphire(SOS)epitaxial wafer外延是蓝宝石衬底硅的原片

small scale integration(SSI)小规模综合,在单一模块上由到个图案的布局。

source code原代码,机器代码编译者使用的,输入到程序设计语言里或编码器的代码。

spectral line 光谱线,光谱镊制机或分光计在焦平面上捕捉到的狭长状的图形。

spin webbing 旋转带,在旋转过程中在下表面形成的细丝状的剩余物。

sputter etch 溅射刻蚀,从离子轰击产生的表面除去薄膜。

stacking fault堆垛层错,原子普通堆积规律的背离产生的次空间错误。

steam bath蒸汽浴,一个大气压下,流动蒸汽或其他温度热源的暴光。

step response time瞬态特性时间,大多数流量控制器实验中,普通变化时段到气流刚到达特定地带的那个时刻之间的时间。

stress test 应力测试,包括特定的电压、温度、湿度条件。

surface profile表面轮廓,指与原片表面垂直的平面的轮廓(没有特指的情况下)。

symptom征兆,人员感觉到在一定条件下产生变化的弊病的主观认识。

tack weld间断焊,通常在角落上寻找预先有的地点进行的点焊(用于连接盖子)。

temperature cycling温度周期变化,测量出的重复出现相类似的高低温循环。

TEOS –(CHCHO)Si 四乙氧基硅烷/正硅酸四乙酯,常温下液态。作LPCVD /PECVD生长SiO的原料。又指用TEOS 生长得到的SiO层。

testability易测性,对于一个已给电路来说,哪些测试是适用它的。

thermal deposition热沉积,在超过度的高温下,硅片引入化学掺杂物的过程。

thin film超薄薄膜,堆积在原片表面的用于传导或绝缘的一层特殊薄膜。

toluene(CHCH) 甲苯。有毒、无色易燃的液体,它不溶于水但溶于酒精和大气。

total fixed charge density(Nth) 下列是硅表面不可动电荷密度的总和:氧化层固定电荷密度(Nf)、氧化层俘获的电荷的密度(Not)、界面负获得电荷密度(Nit)。

trench 沟道,深腐蚀区域,用于从另一区域隔离出一个区域或者在硅晶片上形成存储电容器。

tungsten hexafluoride(WF) 氟化钨。无色无味的气体或者是淡黄色液体。在CVD中WF用于淀积硅化物,也可用于钨传导的薄膜。

vapor pressure 当固体或液体处于平衡态时自己拥有的蒸汽所施加的压力。蒸汽压力是与物质和温度有关的函数。

via 通孔。使隔着电介质的上下两层金属实现电连接。

wafer flat 从晶片的一面直接切下去,用于表明自由载流子的导电类型和晶体表面的晶向,也可用于在处理和雕合过程中的排列晶片。

wafer process chamber(WPC) 对晶片进行工艺的腔体。

window 在隔离晶片中,允许上下两层实现电连接的绝缘的通道。

CCFL(CCFT) Cold Cathode Fluorescent Light/Tude 冷阴极荧光灯

COB Chip On Board 通过绑定将IC裸偏固定于印刷线路板上

CVT,Component V erification and Tracking 元件确认与跟踪

DFM,Design for Manufacturing 面向制造过程的设计

DFX,Design for X 面向产品的整个生命周期或某个环节的设计

Duty - 占空比,高出点亮的阀值电压的部分在一个周期中所占的比率137********

EDIF,The Electronic Design Interchange Format 电子设计交互格式

EIA,Electronic Industries Association 电子工业协会

EL Elextro Luminescence 电致发光。EL层由高分子量薄片构成

Engineering Change Order 原理图与PCB版图的自动对应修改

FSTN Formulated Super Twisted Nematic 格式化超级扭曲向列。一层光程补偿偏甲于STN,用于单色显示

IBIS,Input/Output Buffer Information Specification 模型

ICAM,Integrated Computer Aided Manufacturing 在ECCE项目里就是指制作PCB

IEEE,The Institute of Electrical and Electronic Engineers 国际电气和电子工程师协会

IGES,Initial Graphics Exchange Specification 三维立体几何模型和工程描述的标准

IPC,The Institute for Packaging and Interconnect 封装与互连协会

IPO,Interactive Process Optimizaton 交互过程优化

ISO,The International Standards Organization 国际标准化组织

LVDS Low V oltage Differential Signaling 低压差分信号

NTSC National Television Systems Committee NTSC制式。全国电视系统委员会制式

SEquential Couleur A vec Memoire SECAM制式(顺序与存储彩色电视系统)

SPI,Signal-Power Integrity 将信号完整性和电源完整性集成于一体的分析工具

SPICE,Simulation Program with Integrated Circuit Emphasis 集成电路模拟的仿真程序

STEP,Standard for the Exchange of Product Model Data

STN Super Twisted Nematic 超级扭曲向列。约~度扭曲向列

S-video - S端子,与复合视频信号比,将对比和颜色分离传输

TMDS Transition Minimized Differential Singnaling

TN Twisted Nematic 扭曲向列。液晶分子的扭曲取向偏转度

UHDL,VHSIC Hardware Description Language 硬件描述语言

VST,V erfication and Simulation Tools 验证和仿真工具

polymorphism n:多态现象,多晶形成一种化合物以至少两种不同的形态结晶的现象

由于铜的电阻值比铝还小,因此可在较小的面积上承载较大的电流,让厂商得以生产速度更快、电路更密集,且效能可提升约30-40%的芯片。亦由于铜的抗电子迁移(electro-migration)能力比铝好,因此可减轻其电移作用,提高芯片的可靠度。

相关文档