文档库 最新最全的文档下载
当前位置:文档库 › 锁相环基本原理及其应用

锁相环基本原理及其应用

锁相环基本原理及其应用
锁相环基本原理及其应用

锁相环及其应用

所谓锁相环路,实际是指自动相位控制电路(APC),它是利用两个电信号的相位

误差,通过环路自身调整作用,实现频率准确跟踪的系统,称该系统为锁相环路,简

称环路,通常用PLL表示。

锁相环路是由鉴相器(简称 PD)、环路滤波器(简称 LPF或LF)和压控振荡器(简

称 VCO)三个部件组成闭合系统。这是一个基本环路,其各种形式均由它变化而来

PLL概念

设环路输入信号v i= V im sin(ωi t+φi)

环路输出信号v o= V om sin(ωo t+φo)——其中ωo=ωr+△ωo

通过相位反馈控制,最终使相位保持同步,实现了受控频率准确跟踪基准信号频率的自动控制系统称为锁相环路。

PLL构成

由鉴相器(PD)环路滤波器(LPF)压控振荡器(VCO)组成的环路。

PLL原理

从捕捉过程→锁定

A.捕捉过程(是失锁的)

a.

φi┈φi均是随时间变化的,经相位比较产生误差相位φe=φi-φo,也是变化的。

b.

φe(t)由鉴相器产生误差电压v d(t)=f(φe)完成相位误差—电压的变换作用。v d(t)为交流电压。

c. v

d(t)经环路滤波,滤除高频分量和干扰噪声得到纯净控制电压,由VCO产生控制角频差△ω0,使ω0随ωi变化。

B.锁定(即相位稳定)

a.

一旦锁定φe(t)=φe∞(很小常数)v d(t)= V d(直流电压)

b.

ω0≡ωi输出频率恒等于输入频率(无角频差,同时控制角频差为最大△ω0max, 即ω0=ωr+△ω0max。ωr为VCO固有振荡角频率。)

锁相基本组成和基本方程(时域)

各基本组成部件

鉴相器(PD)

数学模式v d(t)=A D sinφe(t)

相位模式

环路滤波器(LPF)

数学模式v c(t)=A F(P)v d(t)

相位模式

压控振荡器(VCO)

数学模式

相位模式

环路模型

相位模式:指锁相环(PLL)输入相位和输出相位的反馈调节关系。

相位模型:把鉴相器,环路滤波器和压控振荡器三个部件的相位模型依次级联起来就构成锁相相位模型。

锁相环路基本方程(动态方程)和物理意义

方程:Pφ

e (t)=Pφ

i

(t)-A

O

A

D

A

F

(p)sinφ

e

(t)

e (t)=Pφ

i

(t)-A

(p)sinφ

e

(t)

方程特点:

属非线性微分方程

非线性由鉴相器决定

求解微分方程,可确定环路的性能。

方程物理意义:

它是描述输入信号和压控振荡器输出信号之间的相位误差φe(t),从环路闭合的一瞬间开始,φe(t)随着时间t变化的过程。

各项物理意义:

e (t)表示环路瞬时角频差△ω= ω

i

o

i

(t)表示环路的固有角频差(或起始角频差)

△ω

i = ω

i

-ωr

A O A

D

A

F

(p)sinφ

e

(t)表示环路控制角频差△ω

o

= ω

o

-ωr

环路动态过程表明:△ω

i =△ω↓+△ω

o

当△ω↓↓=0时, ω

i =ω

o

环路锁定。

锁定时

※补充

二、复频域

锁相基本方程和相位模型(复频域)

线性化条件:

环路线性化环路方程:

e (t)=Pφ

i

(t)-A

F(p)φ

e

(t)为线性微分方程。

复频域相位模式:

复频域环路线性化环路方程

e (S)=Sφ

i

(S)-A

F(S)φ

e

(t)

φe(S),φi(S)为φe(t), φi(t)的拉氏变换

F(S)是环路滤波器的传递函数。

环路传递函数

线性系统传递函数的定义:

当初始条件为零时,响应函数的拉氏变换与驱动函数的拉氏变换之比。环路传递函数:

开环传递函数H

o

(s)

当环路反馈支路开路状态下,由输入相位驱动所引起输出相

位的响应。为:

闭环传递函数H(s)

研究环路闭环状态下,由输入相位φi(S)驱动所引起输出相位

φo(S) 的响应。为:

误差传递函数H

e

(s)

研究闭环状态下,由输入相位驱动,误差相位的响应。为:

H o(s),H(s),H e(s) 是研究锁相环路跟踪(或同步)状态最常用的三个传递函数,三者之间的关系为:

是工程中常用,应熟记。

F(S)是环路滤波器的传递函数。不同的环路,采取的环路滤波器不同,即F(S)不同,代入环路传递函数中即可得到不同环路的三种不同传递函数。

──环路固有角频率为了引入环路参量ω

n

ζ──环路阻尼系数

可描述环路的动态过程(其中ωn,ζ均可用A o,A D及时间常数τ或τ1,τ2表示,但各环路系统的ωn,ζ是不同的)。

分母标准化环路传递函数表示如下:

锁相环路的工作状态一、锁定状态

锁定工作状态现象的观察(实验)

锁定状态涵义:

它是指环路基准输入信号的频率和相位与压控振荡器输出信号的频率和相位相等,则鉴相器输出电压v d(t)为一直流电压,其大小使压控振荡器频率保持着和基准输入信号频率相等。

锁定充分必要条件

说明:

假设基准输入信号频率和初相位是不变。开环时ωi≠ωr,存在固有角

频差Δωi,当环路闭合后,通过环路的调节作用,使VCO产生一个控

制频差Δωo=ωo-ωr,在锁定时,任何时刻Δωi=Δωo。

锁定条件:

ωi=ωoφe(t)=φe∞

二、跟踪状态

跟踪工作状态现象的观察

用信号发生器代替基准输入信号f i,当改变f i频率,在一定范围内变化时,观察发现VCO的频率f o将随着信号发生器的频率作线性变化。在环路锁定情况下二个频率计的频率读数始终是相等的。

跟踪过程可表示为:

ω

i ↑→φ

i

↑→φ

e

↑→V d↑→V c↑→C j↓→ωo↑→φo↑

同样跟踪过程也是锁定的一种形式:

ω

o ↑→φ

o

↑→φ

e

↓→V d↓→V c↓→C j↑→ωo↓→φo↓

可见锁相环是一个相位反馈系统,环路锁定没有频差,但仍然存在相位

误差φe,否则不可能再控制频率变化了。

同步带:

指环路本身是失锁状态,使环路能保持跟踪和同步的最大固有角频差

Δωimax=ΔωH称为环路的同步带。

三、失锁状态

失锁涵义:表示环路既不锁定,也不跟踪,环路所处的工作状态。

失锁通过环路调节作用可能有两种不同结果:

其一:可能使环路无法再锁定。

其二:可能使环路再锁定。(这是通常失锁状态总是指这种情况) 环路从失锁到环路再次锁定的过程称为捕捉过程。

捕捉过程

包含两个阶段,一是频率牵引阶段(或称频率捕捉过程);一是相位牵

引阶段(或称相位捕捉过程)。

捕捉过程鉴相器输出电压v d(t) 呈现波形就不再是正弦波,而是一

串非周期性的“叶尖”波。

频率捕捉过程是由于φe(t)产生2π周期跳跃,产生上下不对称的差

拍波,产生一个直流分量,随差拍波的周期愈来愈长,使这直流分量

值也愈来愈大,这直流的增长过程,就是环路滤波器的积分过程,将

VCO的频率从ωi牵引ωr,完成频率牵引过程。

相位捕捉过程是使VCO频率已接近了ωi,认为只进行相位的调整,

这过程已不再发生2π周期的跳跃,所以是快捕入锁的过程。使

φe(t)趋于稳态的相位差φe∞,由于v d经过环路滤波器后产生v c

信号,控制VCO,才能保持ωi=ωo,若无φe∞存在,环路也无法锁定。

环路锁定后,若输入信号是随时间发生变化,加至鉴相器后,通过环

路调节作用,使压控振荡器的频率也不断地跟随输入信号频率和相位

而变,只要满足ωi-ωo=(ωi-ωr)-(ωo-ωr),这时环路工作状态就

是跟踪(或同步)状态。

※补充

环路频响特性

“频率特性”是对输入信号的相位频谱而言

输入信号v i(t)=V im sin[ωc(t)+m i sin(Ωt+φi)]

输入相位φ

i (t)=m

i

sin(Ωt+φ

i

')

输出相位φ

o (t)=m

o

sin(Ωt+φ

o

')

误差相位φ

e (t)=msin(Ωt+φ

e

')

将环路传递函数中S,令S=jΩ即分别得到不同环路闭环频率响应和误差的频率响应。

一阶环

二阶环(以理想二阶环为例)

结论

无论何种滤波器的二阶环其闭环频响特性应都具有低通性质,误差频响特性都具有高通性质。这两种响应在环路应用中有极重要的作用。

闭环幅频具有低通滤波特性即:只要输入信号的相位调制频率Ω低于环路的自然频率ωn(严格地说是截止角频率),则环路就可以良好地传递相位调制,VCO的输出相位φo(t)可以良好跟踪输入相位φi(t)的变化,环路误差相位很小。

误差频响具有高通滤波特性即:当相位调制频率Ω远高于环路自然频率ωn,那么环路不能传递相位调制,VCO的输出相位Φo(t)不能跟踪输入相位φi(t)变化,环路误差相位φe(t)几乎与输入相位φi(t)一样变化。

调制跟踪与载波跟踪

调制跟踪

指当Ω<ωn,处于闭环低通特性的通带内,使环内的VCO的输出电压v o(t)跟踪了V o(t)的相位调制。称它为调制跟踪状态。

调制跟踪型应用实例框图──锁相鉴频

载波跟踪型

是指当Ω>ωn,调制频率处于闭环低通特性的通带外,φi(t)不能跟踪φo(t),此时VCO输出无相位调制的载波v o(t)=V om cosωo t,当输入信号的载频产生缓慢的漂移时,由于环路要维持稳定,所以输出载频也会跟着漂移,这种跟踪状态称为载波跟踪型。

φo(t)没有跟踪φi(t),却反映了φe(t)较大,即φe(t)跟踪了φi(t)的相位调制,这就是误差频率响应的高通特性。

载波跟踪环可用于提取输入已调波中的载波等。应用实例框图──载波跟踪环用作同步检波。

v i(t)与v o(t)在载波相位上相差90°,所以v o(t)经π/2移相可得到与原载波同频同相的参考信号。

环路暂态(瞬态)响应和稳态相位(一)

暂态相位差和稳态相位差

稳态相位差

锁相环路处于锁定状态时,输出频率与输入频率相等,两者之间只有φe∞,称φe∞相差为稳态相位差。

不同环路,不同的输入信号形式,有不同的稳态相位差。

暂态相位差

环路在锁定条件下,若输入信号的频率或相位发生了变化,通过环路自身调

整,如果是理想的跟踪,跟踪的过程φe(t)变化较小,最后使环路重新入

锁。这过程称暂态过程,暂态过程的相位差φe(t)称为暂态相位差。

暂态相位差不仅与环路参数有关,还与输入信号的变化形式有关。

暂态过程是环路跟踪过程,环路可视为线性系统,系统的特性可用传递函

数表示。

典型输入信号形式

输入相位阶跃

输入频率阶跃

频率斜升

研究暂态响应的方法

写出输入信号的拉氏变换φ

i

(s)

写出环路传递函数H(s)和H

e

(s)

求出φ

o (s)=H(s)φ

i

(s),φ

e

(s)=H

e

(s)φ

i

(s)

求φ

o (s)、φ

e

(s)拉氏反变换φ

o

(t)、φ

e

(t)

即φ

o (t)=L-1[φ

o

(s)],φ

e

(t)=L-1[φ

e

(s)]

典型信号输入环路的暂态(瞬态)响应

★稳态相位差φe∞环路稳定性

锁相环是一个反馈控制系统,稳定是反馈控制系统的重要性能,关系到系统能够正常发挥效能的前提条件。

线性环路系统稳定充要条件

闭环传递函数的全部极点都应位于S平面的左半平面上,否则为不稳定系统。

线性环路系统判断方法

根轨迹法

根轨迹:锁相环的闭环极点随A∑值的变化(从O→∞)而在S平面(复数S平面)

上描绘出的轨迹,称作根的轨迹,简称根轨迹。

根轨迹法:

根据锁相环开环传递函数零,极点的数值,通过根轨迹曲线求出闭环传递函数H(S)的极点,来判断环路稳定性的方法。

根轨迹主要特性:

根轨迹的数目等于闭环特征方程的阶数。也就是根轨迹的数目与闭环极点

数目相同,并与环路阶数相等。

根轨迹的起点起始于开环的极点,而终止于开环零点或无穷远处。也就是

根轨迹上相应于A∑=O的点是开环极点;相应于A∑=∞的点是开环零点或

无穷远处。

※根轨迹均为连续的,并对称于实轴的曲线。

根轨迹法判断举例:

闭环传递函数与开环传递函数之间关系为

环路闭环特征方程1+G(S)=O

※对一阶环稳定性判断(F(S)=1)

极点数n=1 所以根轨迹只有一条,并且连续的。所以一阶环开环传递函数具有一个零极点,而无零点。

由右图可见

从开环的极点是在原点开始,向A

∑→∞变化时,根轨迹终止于无穷远处。实

际上,一阶环的根轨迹就是S平面的负实

轴。

可见:一阶环传递函数的极点位于S平面的左侧,所以是无条件稳定。

※有源RC比例积分二阶2型环的稳定判断

闭环极点数n=2即阶数为2,所以根轨迹有两条,并且连续的而对称于实轴的曲线。

二条根轨迹均位于S平面的左半平面内,所以二阶2型环是无条件稳定。

环路非线性相图分析

锁相环路是一个非线性的自动控制系统。其非线性主要来源于鉴相器。在作

波得准则法

用开环频域特性,来判断闭环时系统的极点是否都落在S 平面的左半平面内,若是,则为就是稳定,若有一个或一个以上处在右半平面或虚轴上,则系统就是不稳定的。波得准则在工程上是常用的,即波得图可根据开环传递函数绘出,也可通过实验方法得出。

波得图:

※ 包括幅频特性和相频特性,频率都用对数分度表示。 ※

实际应用时,不但要求稳定,而且要求远离临界稳定的条件,即相位余量和增益余量。

※ 开环增益达到0dB 时的频率称增益临界频率ωT 。

开环相移达到π时的频率称相位临界频率ωK 。

环路跟踪性能的分析中,是假定环路已经工作在锁定状态。在跟踪过程中认为相位误差φe(t)始终是很小,故允许对环路作线性化处理。但在实际工作过程中环路相位误差并不是很小,如捕获的过程其相位误差即可能大大超过此线性化允许的范围。因此,研究环路的捕捉过程、捕捉带以及捕捉时间等,就不能再做线性化处理,而必须解出高阶非线性微分方程,即必须对环路作非线性分析。

试图求解二阶以上的非线性微分方程,目前是较困难的。只有有限的特定形式的低阶非线性微分方程能够直接求解。这样在工程上对非线性系统只能根据其具体非线性、工作状态、输入量的大小,采用不同的方法进行分析。目前采用下述一些方法:

1.相图法

2.描述函数法

3.李雅普诺夫法

4.空间状态变量法

相图法和描述函数法是属于比较成熟的经典方法,而李雅普诺夫法和空间状态变量法属于现代控制理论的范畴。但是对于我们目前广泛采用的一些系统,相图法与描述函数法仍然能够给出卓有成效的分析,现仅就相图法来分析一阶、二阶环路,定性或定量的描述环路在同步与捕捉过程中的一些现象。

基本概念

相图法是一种图解分析方法,可用于分析一阶、二阶非线性微分方程的动态过程,取得稳定性、时间响应等有关的信息。在现代计算机模拟计算下,可比较迅速与精确的获得相轨迹图形,用于系统的分析与设计。但相图法只适合一阶和二阶系统,三阶系统相轨迹将处于三维空间,无论绘制与分析都是困难的。固而,三阶和三阶以上可采用描述函数法、李雅普诺夫法和空间状态变量法等。

相图特点

线性系统相图的特点

锁相环原理及应用

锁相电路(PLL)及其应用 自动相位控制(APC)电路,也称为锁相环路(PLL),它能使受控振荡器的频率和相位均与输入参考信号保持同步,称为相位锁定,简称锁相。它是一个以相位误差为控制对象的反馈控制系统,是将参考信号与受控振荡器输出信号之间的相位进行比较,产生相位误差电压来调整受控振荡器输出信号的相位,从而使受控振荡器输出频率与参考信号频率相一致。在两者频率相同而相位并不完全相同的情况下,两个信号之间的相位差能稳定在一个很小的围。 目前,锁相环路在滤波、频率综合、调制与解调、信号检测等许多技术领域获得了广泛的应用,在模拟与数字通信系统中已成为不可缺少的基本部件。 一、锁相环路的基本工作原理 1.锁相环路的基本组成 锁相环路主要由鉴频器(PD)、环路滤波器(LF)和压控振荡器(VCO)三部分所组成,其基本组成框图如图3-5-16所示。 图1 锁相环路的基本组成框图 将图3-5-16的锁相环路与图1的自动频率控制(AFC)电路相比较,可以看出两种反馈控制的结构基本相似,它们都有低通滤波器和压控振荡器,而两者之间不同之处在于:在AFC环路中,用鉴频器作为比较部件,直接利用参考信号的频率与输出信号频率的频率误差获取控制电压实现控制。因此,AFC系统中必定存在频率差值,没有频率差值就失去了控制信号。所以AFC系统是一个有频差系统,剩余频差的大小取决于AFC系统的性能。 在锁相环路(PLL)系统中,用鉴相器作为比较部件,用输出信号与基准信号两者的相位进行比较。当两者的频率相同、相位不同时,鉴相器将输出误差信号,经环路滤波器输出

控制信号去控制VCO ,使其输出信号的频率与参考信号一致,而相位则相差一个预定值。因此,锁相环路是一个无频差系统,能使VCO 的频率与基准频率完全相等,但二者间存在恒定相位差(稳态相位差),此稳态相位差经鉴相器转变为直流误差信号,通过低通滤波器去控制VCO ,使0f 与r f 同步。 2.锁相环路的捕捉与跟踪过程 当锁相环路刚开始工作时,其起始时一般都处于失锁状态,由于输入到鉴相器的二路信号之间存在着相位差,鉴相器将输出误差电压来改变压控振荡器的振荡频率,使之与基准信号相一致。锁相环由失锁到锁定的过程,人们称为捕捉过程。系统能捕捉的最大频率围或最大固有频带称为捕捉带或捕捉围。 当锁相环路锁定后,由于某些原因引起输入信号或压控振荡器频率发生变化,环路可以通过自身的反馈迅速进行调节。结果是VCO 的输出频率、相位又被锁定在基准信号参数上,从而又维持了环路的锁定。这个过程人们称为环路的跟踪过程。系统能保持跟踪的最大频率围或最大固有频带称为同步带或同步围,或称锁定围。 捕捉过程与跟踪过程是锁相环路的两种不同的自动调节过程。 由此可见,自动频率控制(AFC )电路,在锁定状态下,存在着固定频差。而锁相环路控制(PLL )电路,在锁定状态下,则存在着固定相位差。虽然锁相环存在着相位差,但它和基准信号之间不存在频差,即输出频率等于输入频率.这也表明,通过锁相环来进行频率控制,可以实现无误差的频率跟踪.其效果远远优于自动频率控制电路. 3.锁相环路的基本部件 1)鉴相器(PD —Phase Detector ) 鉴相器是锁相环路中的一个关键单元电路,它负责将两路输入信号进行相位比较,将比较结果从输出端送出。 鉴相器的电路类型很多,最常用的有以下三种电路. (1)模拟乘法器鉴相器,这种鉴相器常常用于鉴相器的两路输入信号均为正弦波的锁相环电路中。 (2)异或门鉴相器,这种鉴相器适合两路输入信号均为方波信号的锁相环电路中,所以异或门鉴相器常常应用于数字电路锁相环路中。 (3)边沿触发型数字鉴相器,这种鉴相器也属于数字电路型鉴相器,对输入信号要求不严,可以是方波,也可以是矩形脉冲波.这种电路常用于高频数字锁相环路中。 图2 是异或门鉴相器的鉴相波形与鉴相特性曲线。

锁相环基本原理及其应用

锁相环及其应用 所谓锁相环路,实际是指自动相位控制电路(APC),它是利用两个电信号的相位 误差,通过环路自身调整作用,实现频率准确跟踪的系统,称该系统为锁相环路,简称环路,通常 用PLL表示。 称VCO )三个部件组成闭合系统。这是一个基本环路,其各种形式均由它变化而来 PLL概念 设环路输入信号V i= V im Sin( 3 i t+ 0 i) 环路输出信号V o= V om Sin( 3 o t+ 0 o) 其中 3 o = 3 r +△ 3 o 率的自动控制系统称为锁相环路 PLL构成 由鉴相器(PD环路滤波器(LPF)压控振荡器(VCO组成的环路 通过相位反馈控制, 最终使相位保持同步, 实现了受控频率准确跟踪基准信号频锁相环路是由鉴相器(简称PD)、环路滤波器(简称LPF或LF )和压控振荡器(简

ejt 戶心(tAejt)谋差相檯 PLL 原理 从捕捉过程一锁定 A.捕捉过程(是失锁的) 0 i — 0 i 均是随时间变化的,经相位比较产生误差相位 0 e = 0 i - 0 o ,也是变化的。 b. 0 e (t)由鉴相器产生误差电压 V d (t)= f ( 0 e )完成相位误差一电压的变换作用。 V d (t)为交流电压。 C. V d (t)经环路滤波,滤除高频分量和干扰噪声得到纯净控制电压,由 VCO 产生 控制角频差△ 3 0,使3 0随3i 变化。 B.锁定(即相位稳定) 即 3 0= 3 r + △ 3 Omax 。 3 r 为VCO 固有振荡角频率。) 锁相基本组成和基本方程(时域) 各基本组成部件 鉴相器(PD) a. 一旦锁定0 e (t)= 0 e -(很小常数) V d (t)= V d (直流电压) b. 3 0= 3 i 输出频率恒等于输入频率(无角频差,同时控制角频差为最大△ 3 Omax

锁相环的基本原理和模型

1.锁相环的基本原理和模型 在并网逆变器系统中,控制器的信号需要与电网电压的信号同步,锁相环通过检测电网电压相位与输出信号相位之差,并形成反馈控制系统来消除误差,达到跟踪电网电压相位和频率的目的。一个基本的锁相环结构如图1-1所示,主要包括鉴相器,环路滤波器,压控振荡器三个部分。 图1-1 基本锁相环结构 鉴相器的主要功能是实现锁相环输出与输入的相位差检测;环路滤波器的主要作用应该是建立输入与输出的动态响应特性,滤波作用是其次;压控振荡器所产生的所需要频率和相位信息。 PLL 的每个部分都是非线性的,但是这样不便于分析设计。因此可以用近似的线性特性来表示PLL 的控制模型。 鉴相器传递函数为:)(Xo Xi Kd Vd -= 压控振荡器可以等效为一个积分环节,因此其传递函数为:S Ko 由于可以采用各种类型不同的滤波器(下文将会讲述),这里仅用)(s F 来表示滤波器的传递函数。 综合以上各个传递函数,我们可以得到,PLL 的开环传递函数,闭环传递函数和误差传递函数分别如下: S s F K K s G d o op )()(=,)()()(s F K K S s F K K s G d o d o cl +=,) ()(s F K K S S s H d o += 上述基本的传递函数就是PLL 设计和分析的基础。 2.鉴相器的实现方法 鉴相器的目的是要尽可能的得到准确的相位误差信息。可以使用线电压的过零检测实现,但是由于在电压畸变的情况下,相位信息可能受到严重影响,因此需要进行额外的信号处理,同时要检测出相位信息,至少需要一个周波的时间,动态响应性能可能受到影响。 一般也可以使用乘法鉴相器。通过将压控振荡器的输出与输入相乘,并经过一定的处理得到相位误差信息。 在实际的并网逆变器应用中还可以在在同步旋转坐标系下进行设计,其基本的目的也是要得的相差的数值。同步旋转坐标系下的控制框图和上图类似,在实际使用中,由于pq 理论在电网电压不平衡或者发生畸变使得性能较差,因而较多的使用dq 变换,将采样得到的三相交流电压信号进行变化后与给定的直流参考电压进行比较。上述两种方法都使用了近似,利用在小角度时正弦函数值约等于其角度,因而会带来误差,这个误差是人为近似导致的误差,与我们要得到的相位误差不是一个概念,最终的我们得到相位误差是要形成压控振荡器的输入信号,在次激励下获得我们所需要的频率和相位信息。 2.1乘法鉴相器

全数字锁相环原理及应用

全数字锁相环原理及应用 2011年11月18日 摘要:锁相环是一种相位负反馈系统,它能够有效跟踪输入信号的相位。随着数字集成电路的发展,全数字锁相环也得到了飞速的发展。由于锁相精度和锁定时间这组矛盾的存在使得传统的全数字锁相环很难在保证锁定时间的情况下保证锁定精度。鉴于此,本文对一些新结构的全数字锁相环展开研究,并用VHDL语言编程,利用FPGA仿真。 为解决软件无线电应用扩展到射频,即射频模块软件可配置的问题和CMOS工艺中由于电压裕度低、数字开关噪声大等因素,将射频和数字电路集成在一个系统中设计难度大的问题,本文尝试提出数字射频的新思路。全数字锁相环是数字射频中最重要的模块之一,它不仅是发射机实现软件可配置通用调制器的基础,还是为接收机提供宽调频范围本振信号的基础。本文针对数字射频中的数字锁相环的系统特性以及其各重要模块进行了研究。 关键词:全数字锁相环;锁定时间;锁定精度;PID控制;自动变模控制;数控振荡器;时间数字转换器;数字环路滤波器;FPGA; Principle and Application of all-digital phase-locked loop Abstract: Phase-Locked Loop is a negative feedback system that can effectively track the input signal’s phase. With the development of digital integrated circuits, all-digital phase-locked loop has also been rapidly developed. Because of the contradiction between the existence of phase-locked precision and phase-locked time, it makes the traditional all-digital phase-locked loop difficult to ensure the lock time meanwhile as well as phase-locked precision. So some new structures of all-digital phase-locked loop are analyzed in this paper and programmed in VHDL language with simulation under FPGA. In order to extend the application from radio to RF, which including RF modules software configurable problems and the difficulty to integrate RF and digital circuit in one system due to some factors contain the low voltage and large noise of the digital switches etc. This paper will try to put out a new thought for digital RF. All-digital phase-locked loop is one of the most important modules in digital RF. It is not only the foundation of transmitter which can be realized by software configurable general modulator, but also the foundation of receiver which can be provided wide range of local vibration signal. This paper particularly makes a study of the system character of tall-digital phase-locked loop and its vital modules. Keywords: ADPLL; Locked time; Locked precision; PID control; Auto modulus control; DCO;TDC; Digital Loop Filter; 1. 引言 锁相环路是一种反馈控制电路,锁相环的英文全称是Phase-Locked Loop,简称PLL。目前锁相环在通信、信号处理、调制解调、时钟同步、频率综合和自动化控制等领域应用极为广泛,已经成为各种电子设备中不可缺少的基本部件。随着电子技术向数字化方向发展,需要采用数字方式实现信号的锁相处理。因此,对全数字锁相环的研究和应用得到了越来越多的关注。虽然锁相环(PLL)技术已经有了半个多世纪的发展,但是其应用领域也在不断扩大,随着高新科技的发展,使得它的性能需要不断地改进和提高,因此,锁相环的设计与分析也成立集成电路设计者的热点。设计者们也不断提出了新的锁相环结构[1-3],以适应不同场合的需求。

完整版锁相环工作原理.doc

基本组成和锁相环电路 1、频率合成器电路 频率合成器组成: 频率合成器电路为本机收发电路的频率源,产生接收第一本机信号源和发射电路的发射 信号源,发射信号源主要由锁相环和VCO 电路直接产生。如图3-4 所示。 在现在的移动通信终端中,用于射频前端上下变频的本振源(LO ),在射频电路中起着非常 重要的作用。本振源通常是由锁相环电路(Phase-Locked Loop )来实现。 2.锁相环: 它广泛应用于广播通信、频率合成、自动控制及时钟同步等技术领域 3.锁相环基本原理: 锁相环包含三个主要的部分:⑴鉴相器(或相位比较器,记为PD 或 PC):是完成相位比较的单元, 用来比较输入信号和基准信号的之间的相位.它的输出电压正比于两个输入信号之相位差.⑵低通滤波器(LPF): 是个线性电路,其作用是滤除鉴相器输出电压中的高频分量,起平滑滤波的 作用 .通常由电阻、电容或电感等组成,有时也包含运算放大器。⑶压控振荡器(VCO ):振

荡频率受控制电压控制的振荡器,而振荡频率与控制电压之间成线性关系。在PLL 中,压控振荡器实际上是把控制电压转换为相位。 1、压控振荡器的输出经过采集并分频; 2、和基准信号同时输入鉴相器; 3、鉴相器通过比较上述两个信号的频率差,然后输出一个直流脉冲电压; 4、控制 VCO ,使它的频率改变; 5、这样经过一个很短的时间,VCO的输出就会稳定于某一期望值。 锁相环电路是一种相位负反馈系统。一个完整的锁相环电路是由晶振、鉴相器、R 分频器、N 分频器、压控振荡器(VCO )、低通滤波器(LFP)构成,并留有数据控制接口。 锁相环电路的工作原理是:在控制接口对R 分频器和N 分频器完成参数配置后。晶振产生 的参考频率( Fref)经 R 分频后输入到鉴相器,同时VCO 的输出频率( Fout)也经 N 分频后输入到鉴相器,鉴相器对这两个信号进行相位比较,将比较的相位差以电压或电流的方式 输出,并通过 LFP 滤波,加到 VCO 的调制端,从而控制 VCO 的输出频率,使鉴相器两输入端的 输入频率相等。 锁相环电路的计算公式见公式: Fout=(N/R)Fref 由公式可见,只要合理设置数值N 和 R,就可以通过锁相环电路产生所需要的高频信号。 4.锁相环芯片 锁相环的基准频率为13MHz ,通过内部固定数字频率分频器生成5KHz 或 6.25KHz 的参考频率。 VCO 振荡频率通过IC1 内部的可编程分频器分频后,与基准频率进行相位比较,产 生误差控制信号,去控制VCO,改变VCO的振荡频率,从而使VCO输出的频率满足要求。如图 3-5 所示。 N=F VCO /F R N:分频次数 F VCO: VCO 振荡频率

锁相环原理及锁相环原理图

问题: 什么是锁相环(PLL)?锁相环的工作原理是什么?锁相环电路对硬件电路连接有什么要求? 解答: 锁相环是一种反馈电路,其作用是使得电路上的时钟和某一外部时钟的相位同步。PLL通过比较外部信号的相位和由压控晶振(VCXO)的相位来实现同步的,在比较的过程中,锁相环电路会不断根据外部信号的相位来调整本地晶振的时钟相位,直到两个信号的相位同步。 在数据采集系统中,锁相环是一种非常有用的同步技术,因为通过锁相环,可以使得不同的数据采集板卡共享同一个采样时钟。因此,所有板卡上各自的本地 80MHz和20MHz时基的相位都是同步的,从而采样时钟也是同步的。因为每块板卡的采样时钟都是同步的,所以都能严格地在同一时刻进行数据采集。 通过锁相环同步多块板卡的采样时钟所需要的编程技术会根据您所使用的硬件 板卡的不同而不同。对于基于PCI总线的产品(M系列数据采集卡,PCI数字化仪等),所有的同步都是通过RTSI总线上的时钟和触发线来实现的;这时,其中一块版板卡会作为主卡并且输出其内部时钟,通过RTSI线,其他从板卡就可以获得这个用于同步的时钟信号,对于基于PXI总线的产品,则通过将所有板卡的时钟于PXI内置的10MHz背板时钟同步来实现锁相环同步的。关于更多的不同仪器的锁相环技术,请点击下面相关的连接。 锁相环原理及锁相环原理图 1.锁相环的基本组成 锁相环中的鉴相器又称为相位比较器,它的作用是检测输入信号和输出信号的相 (t)电压信号输出,该信号经低通滤位差,并将检测出的相位差信号转换成u D 波器滤波后形成压控振荡器的控制电压u (t),对振荡器输出信号的频率实施 C 控制。 2.锁相环的工作原理 (8-4-1) (8-4-2)

锁相环CD4046 原理及应用

锁相环 CD4046 原理及应用 锁相的意义是相位同步的自动控制,能够完成两个电信号相位同步的自动控制闭环系统叫做锁相环,简称PLL。它广泛应用于广播通信、频率合成、自动控制及时钟同步等技术领域。锁相环主要由相位比较器(PC)、压控振荡器(VCO)、低通滤波器三部分组成,如图1所示。 图1 压控振荡器的输出Uo接至相位比较器的一个输入端,其输出频率的高低由低通滤波器上建立起来的平均电压Ud大小决定。施加于相位比较器另一个输入端的外部输入信号Ui与来自压控振荡器的输出信号Uo相比较,比较结果产生的误差输出电压UΨ正比于Ui和Uo两个信号的相位差,经过低通滤波器滤除高频分量后,得到一个平均值电压Ud。这个平均值电压Ud朝着减小CO输出频率和输入频率之差的方向变化,直至VCO输出频率和输入信号频率获得一致。这时两个信号的频率相同,两相位差保持恒定(即同步)称作相位锁定。 当锁相环入锁时,它还具有“捕捉”信号的能力,VCO可在某一范围内自动跟踪输入信号的变化,如果输入信号频率在锁相环的捕捉范围内发生变化,锁相环能捕捉到输人信号频率,并强迫VCO锁定在这个频率上。锁相环应用非常灵活,如果输入信号频率f1不等于VCO输出信号频率f2,而要求两者保持一定的关系,例如比例关系或差值关系,则可以在外部加入一个运算器,以满足不同工作的需要。过去的锁相环多采用分立元件和模拟电路构成,现在常使用集成电路的锁相环,CD4046是通用的CMOS锁相环集成电路,其特点是电源电压范围宽(为3V-18V),输入阻抗高(约100MΩ),动态功耗小,在中心频率f0为10kHz下功耗仅为600μW,属微功耗器件。图2是CD4046的引脚排列,采用 16 脚双列直插式,各引脚功能如下: 图2?1脚相位输出端,环路人锁时为高电平,环路失锁时为低电平。 ?2脚相位比较器Ⅰ的输出端。 ?3脚比较信号输入端。 ?4脚压控振荡器输出端。 ?5脚禁止端,高电平时禁止,低电平时允许压控振荡器工作。 ?6、7脚外接振荡电容。 ?8、16脚电源的负端和正端。 ?9脚压控振荡器的控制端。 ?10脚解调输出端,用于FM解调。 ?11、12脚外接振荡电阻。

PLL 锁相环原理

什么是锁相环(PLL)工作原理及对硬件电路连接的要求锁相环是一种反馈电路,其作用是使得电路上的时钟和某一外部时钟的相位同 步。PLL通过比较外部信号的相位和由压控晶振(VCXO)的相位来实现同步的,在 比较的过程中,锁相环电路会不断根据外部信号的相位来调整本地晶振的时钟相位,直到两个信号的相位同步。 在数据采集系统中,锁相环是一种非常有用的同步技术,因为通过锁相环,可以使得不同的数据采集板卡共享同一个采样时钟。因此,所有板卡上各自的本地80MHz 和20MHz时基的相位都是同步的,从而采样时钟也是同步的。因为每块板卡的采样时钟都是同步的,所以都能严格地在同一时刻进行数据采集。 通过锁相环同步多块板卡的采样时钟所需要的编程技术会根据您所使用的硬件板卡的不同而不同。对于基于PCI总线的产品(M系列数据采集卡,PCI数字化仪等),所有的同步都是通过RTSI总线上的时钟和触发线来实现的;这时,其中一块版板卡会作为主卡并且输出其内部时钟,通过RTSI线,其他从板卡就可以获得这个用于同步的时钟信号,对于基于PXI总线的产品,则通过将所有板卡的时钟于PXI内置的 10MHz背板时钟同步来实现锁相环同步的。 锁相环(PLL)的工作原理 1.锁相环的基本组成 许多电子设备要正常工作,通常需要外部的输入信号与内部的振荡信号同步,利用锁相环路就可以实现这个目的。 锁相环路是一种反馈控制电路,简称锁相环(PLL,Phase-Locked Loop)。锁相环的特点是:利用外部输入的参考信号控制环路内部振荡信号的频率和相位。 因锁相环可以实现输出信号频率对输入信号频率的自动跟踪,所以锁相环通常用于闭环跟踪电路。锁相环在工作的过程中,当输出信号的频率与输入信号的频率相等时,输出电压与输入电压保持固定的相位差值,即输出电压与输入电压的相位被锁住,这就是锁相环名称的由来。 锁相环通常由鉴相器(PD,Phase Detector)、环路滤波器(LF,Loop Filter)和压控振荡器(VCO,Voltage Controlled Oscillator)三部分组成,锁相环组成的 原理框图如图8-4-1所示。 锁相环中的鉴相器又称为相位比较器,它的作用是检测输入信号和输出信号的相位差,并将检测出的相位差信号转换成u D(t)电压信号输出,该信号经低通滤波器滤波后形成压控振荡器的控制电压u C(t),对振荡器输出信号的频率实施控制。

锁相环基本原理

锁相环基本原理 一个典型的锁相环(PLL )系统,是由鉴相器(PD ),压控荡器(VCO )和低通滤波器(LPF )三个基本电路组成,如图1, Ud = Kd (θi –θo) U F = Ud F (s ) θi θo 图1 一.鉴相器(PD ) 构成鉴相器的电路形式很多,这里仅介绍实验中用到的两种鉴相器。 异或门的逻辑真值表示于表1,图2是逻辑符号图。 表1图2 从表1可知,如果输入端A 和B 分别送 2π 入占空比为50%的信号波形,则当两者 存在相位差?θ时,输出端F 的波形的 占空比与?θ有关,见图3。将F 输出波 形通过积分器平滑,则积分器输出波形 的平均值,它同样与?θ有关,这样,我 们就可以利用异或门来进行相位到电压 ?θ 的转换,构成相位检出电路。于是经积 图3 分器积分后的平均值(直流分量)为: U U=Vdd*?θ/π (1) Vcc 不同的?θ,有不同的直流分量Vd 。 ?θ与V 的关系可用图4来描述。 从图中可知,两者呈简单线形关 1/2Vcc 系: Ud = Kd *?θ (2) 1/2ππ?θ Kd 为鉴相灵敏度图4 F O o U K dt d =θV PD LPF VCO Ui Uo V A B F __F = A B + A B F B A

2. 边沿触发鉴相器 前已述及,异或门相位比较器在使用时要求两个作比较的信号必须是占空比为50%的波形,这就给应用带来了一些不便。而边沿触发鉴相器是通过比较两输入信号的上跳边沿(或下跳边沿)来对信号进行鉴相,对输入信号的占空比不作要求。 二. 压控振荡器(VCO ) 压控振荡器是振荡频率ω0受控制电压U F (t )控制的振荡器,即是一种电压——频率变换器。VCO 的特性可以用瞬时频率ω0(t )与控制电压U F (t )之间的关系曲线来表示。未加控制电压时(但不能认为就是控制直流电压为0,因控制端电压应是直流电压和控制电压的叠加),VCO 的振荡频率,称为自由振荡频率ωom ,或中心频率,在VCO 线性控制范围内,其瞬时角频率可表示为: ωo (t )= ωom + K 0U F (t ) 式中,K 0——VCO 控制特性曲线的斜率,常称为VCO 的控制灵敏度,或称压控灵敏度。 三. 环路滤波器 这里仅讨论无源比例积分滤波器如图5。 其传递函数为: 1 )(1 )()()(212+++== τττs s s U s U s K i O F 式中:τ1 =R1C τ2 = R2 C 图5 四. 锁相环的相位模型及传输函数 图6 图6为锁相环的相位模型。要注意一点,锁相环是一个相位反馈系统,在环路中流通的是相位,而不是电压。因此研究锁相环的相位模型就可得环路的完整性能。 由图6可知: R1 0640 V Kd KF(s)Ko/s i o e A -+

锁相环工作原理

图2:加入锁相环后的图形 图1:未加入锁相环时的图形 锁相环最基本的结构如图6.1所示。它由三个基本的部件组成:鉴相器(PD)、环路滤波器(LPF 振荡器(VCO)。 鉴相器是个相位比较装置。它把输入 信号S (t)和压控振荡器的输出信号 i Array (t)的相位进行比较,产生对应于两 S o 个信号相位差的误差电压S (t)。 e 环路滤波器的作用是滤除误差电压 (t)中的高频成分和噪声,以保证环 S e 路所要求的性能,增加系统的稳定性。

压控振荡器受控制电压S d (t)的 控制,使压控振荡器的频率向输入信 号的频率靠拢,直至消除频差而锁定。 锁相环是个相位误差控制系统。它比较输入信号和压控振荡器输出信号之间的相位差,从而产生电压来调整压控振荡器的频率,以达到与输入信号同频。在环路开始工作时,如果输入信号频率荡器频率不同,则由于两信号之间存在固有的频率差,它们之间的相位差势必一直在变化,结果出的误差电压就在一定范围内变化。在这种误差电压的控制下,压控振荡器的频率也在变化。若器的频率能够变化到与输入信号频率相等,在满足稳定性条件下就在这个频率上稳定下来。达到输入信号和压控振荡器输出信号之间的频差为零,相差不再随时间变化,误差电压为一固定值,就进入“锁定”状态。这就是锁相环工作的大致过程。 以上的分析是对频率和相位不变的输入信号而言的。如果输入信号的频率和相位在不断地变可能通过环路的作用,使压控的频率和相位不断地跟踪输入频率的变化。 锁相环具有良好的跟踪性能。若输入FM 信号时,让环路通带足够宽,使信号的调制频谱落在带这时压控振荡器的频率跟踪输入调制的变化。 对于锁相环的详细分析可参阅有关锁相技术的书籍。在此仅说明锁相环鉴频原理。可以简单控振荡器频率与输入信号频率之间的跟踪误差可以忽略。因此任何瞬时,压控振荡器的频率ωv (波的瞬时频率ωFM (t)相等。 FM 波的瞬时角频率可表示为 假设VCO 具有线性控制特性,其斜率K v (压控灵敏度)为(弧度/秒·伏),而VCO 在S d (t)=0频率为ωo ’,则当有控制电压时,VCO 的瞬时角频率为 令上两式相等,即ωv (t)≈ωFM (t),可得

锁相环PLL的组成和工作原理

锁相环的组成和工作原理#1 1.锁相环的基本组成 许多电子设备要正常工作,通常需要外部的输入信号与内部的振荡信号同步,利用锁相环路就可以实现这个目的。 锁相环路是一种反馈控制电路,简称锁相环(PLL)。锁相环的特点是:利用外部输入的参考信号控制环路内部振荡信号的频率和相位。 因锁相环可以实现输出信号频率对输入信号频率的自动跟踪,所以锁相环通常用于闭环跟踪电路。锁相环在工作的过程中,当输出信号的频率与输入信号的频率相等时,输出电压与输入电压保持固定的相位差值,即输出电压与输入电压的相位被锁住,这就是锁相环名称的由来。 锁相环通常由鉴相器(PD)、环路滤波器(LF)和压控振荡 器(VCO)三部分组成,锁相环组成的原理框图如图8-4-1 所示。 锁相环中的鉴相器又称为相位比较器,它的作用是检测输入 信号和输出信号的相位差,并将检测出的相位差信号转换成uD(t)电压信号输出,该信号经低通滤波器滤波后形成压控振荡器的控制电压uC(t),对振荡器输出信号的频率实施控制。 2.锁相环的工作原理 锁相环中的鉴相器通常由模拟乘法器组成,利用模拟乘法器组成的鉴相器电 路如图8-4-2所示。 鉴相器的工作原理是:设外界输入的信号电压和压控振荡器输出的信号电压 分别为: (8-4-1) (8-4-2) 式中的ω0为压控振荡器在输入控制电压为零或为直流电压时的振荡角频率,称为电路的固有振荡角频率。则模拟乘法器的输出电压uD为: 用低通滤波器LF将上式中的和频分量滤掉,剩下的差频分量作为压控振荡器的输入控制电压uC(t)。即uC(t)为: (8-4-3) 式中的ωi为输入信号的瞬时振荡角频率,θi(t)和θO(t)分别为输入信号和输出信号的瞬时位相,根据相量的关系可得瞬时频率和瞬时位相的关系为: 即(8-4-4) 则,瞬时相位差θd为 (8-4-5)

锁相环的组成和工作原理

锁相环的组成和工作原理 1.锁相环的基本组成 许多电子设备要正常工作,通常需要外部的输入信号与内部的振荡信号同步,利用锁相环路就可以实现这个目的。 锁相环路是一种反馈控制电路,简称锁相环(PLL)。锁相环的特点是:利用外部输入的参考信号控制环路内部振荡信号的频率和相位。 因锁相环可以实现输出信号频率对输入信号频率的自动跟踪,所以锁相环通常用于闭环跟踪电路。锁相环在工作的过程中,当输出信号的频率与输入信号的频率相等时,输出电压与输入电压保持固定的相位差值,即输出电压与输入电压的相位被锁住,这就是锁相环名称的由来。锁相环通常由鉴相器(PD)、环路滤波器(LF)和压控振荡器(VCO)三部分组成,锁相环组成的原理框图如图8-4-1所示。 锁相环中的鉴相器又称为相位比较器,它的作用是检测输入信号和输出信号的相位差,并将检测出的相位差信号转换成u D(t)电压信号输出,该信号经低通滤波器滤波后形成压控振荡器的控制电压u C(t),对振荡器输出信号的频率实施控制。 2.锁相环的工作原理 锁相环中的鉴相器通常由模拟乘法器组成,利用模拟乘法器组成的鉴相器电路如图8-4-2所示。 鉴相器的工作原理是:设外界输入的信号电压和压控振荡器输出的信号电压分别为: (8-4-1) (8-4-2) 式中的ω0为压控振荡器在输入控制电压为零或为直流电压时的振荡角频率,称为电路的固有振荡角频率。则模拟乘法器的输出电压u D为:

用低通滤波器LF将上式中的和频分量滤掉,剩下的差频分量作为压控振荡器的输入控制电压u C(t)。即u C(t)为: (8-4-3) 式中的ωi为输入信号的瞬时振荡角频率,θi(t)和θO(t)分别为输入信号和输出信号的瞬时位相,根据相量的关系可得瞬时频率和瞬时位相的关系为: 即(8-4-4) 则,瞬时相位差θd为 对两边求微分,可得频差的关系式为 (8-4-6) 上式等于零,说明锁相环进入相位锁定的状态,此时输出和输入信号的频率和相位保持恒定不变的状态,u c(t)为恒定值。当上式不等于零时,说明锁相环的相位还未锁定,输入信号和输出信号的频率不等,u c(t)随时间而变。 因压控振荡器的压控特性如图8-4-3所示,该特性说明压控振荡器的振荡频率ωu以ω0为中心,随输入信号电压u c(t)的变化而变化。该特性的表达式为 上式说明当u c(t)随时间而变时,压控振荡器的振荡频率ωu也随时间而变,锁相环进入“频率牵引”,自动跟踪捕捉输入信号的频率,使锁相环进入锁定的状态,并保持ω0=ωi的状态不变。 8.4.2锁相环的应用 1.锁相环在调制和解调中的应用 (1)调制和解调的概念

数字锁相环原理 应用

数字锁相环原理及应用 .全数字锁相环结构及原理 图1 数字锁相环路的基本结构 (1)数字环路鉴相器(DPD) 数字鉴相器也称采样鉴相器,是用来比较输入信号与压控振荡器输出信号的相位,它的输出电压是对应于这两个信号相位差的函数。它是锁相环路中的关键部件,数字鉴相器的形式可分为:过零采样鉴相器、触发器型数字鉴相器、超前—滞后型数字鉴相器和奈奎斯特速率取样鉴相器。 (2)数字环路滤波器(DLF) 数字环路滤波器在环路中对输入噪声起抑止作用,并且对环路的校正速度起调节作用。数字滤波器是一种专门的技术,有各种各样的结构形式和设计方法。引入数字环路滤波器和模拟锁相环路引入环路滤波器的目的一样,是作为校正网络引入环路的。因此,合理的设计数字环路滤波器和选取合适的数字滤波器结构就能使DPLL满足预定的系统性能要求。 (3)数字压控振荡器(DCO) 数控振荡器,又称为数字钟。它在数字环路中所处的地位相当于模拟锁相环中的压控振荡器(VCO)。但是,它的输出是一个脉冲序列,而该输出脉冲序列的周期受数字环路滤波器送来的校正信号的控制。其控制特点是:前一采样时刻得到的校正信号将改变下一个采样时刻的脉冲时间位置。 全数字锁相环工作原理 全数字锁相环的基本工作过程如下: (1) 设输入信号 u i (t) 和本振信号(数字压控振荡器输出信号)u o (t) 分别 是正弦和余弦信号,他们在数字鉴相器内进行比较,数字鉴相器的输出是一个与两者间的相位差成比例的电压u d (t)。 (2) 数字环路滤波器除数字鉴相器输出中的高频分量,然后把输出电压u c (t)

加到数字压控振荡器的输出端,数字压控振荡器的本振信号频率随着输入电压的变化而变化。如果两者频率不一致,则数字鉴相器的输出将产生低频变化分量,并通过低通滤波器使DCO的频率发生变化。只要环路设计恰当,则这种变化将使 本振信号u o (t) 的频率与数字鉴相器输入信号u i (t) 的频率一致。 (3)最后,如果本振信号的频率和输入信号的频率完全一致,两者的相位差将保持某一个恒定值,则数字鉴相器的输出将是一个恒定直流电压(忽略高频分量),数字环路滤波器的输出也是一个直流电压,DCO的频率也将停止变化,这时,环路处于“锁定状态”。

锁相环CD4046原理及应用

锁相环CD4046 原理及应用 锁相的意义是相位同步的自动控制,能够完成两个电信号相位同步的自动控制闭环系统叫做锁相环,简称PLL。它广泛应用于广播通信、频率合成、自动控制及时钟同步等技术领域。锁相环主要由相位比较器(PC)、压控振荡器(VCO)、低通滤波器三部分组成,如图1所示。 图1 压控振荡器的输出Uo接至相位比较器的一个输入端,其输出频率的高低由低通滤波器上建立起来的平均电压Ud大小决定。施加于相位比较器另一个输入端的外部输入信号Ui与来自压控振荡器的输出信号Uo相比较,比较结果产生的误差输出电压UΨ正比于Ui和Uo两个信号的相位差,经过低通滤波器滤除高频分量后,得到一个平均值电压Ud。这个平均值电压Ud朝着减小CO输出频率和输入频率之差的方向变化,直至VCO输出频率和输入信号频率获得一致。这时两个信号的频率相同,两相位差保持恒定(即同步)称作相位锁定。 当锁相环入锁时,它还具有“捕捉”信号的能力,VCO可在某一范围内自动跟踪输入信号的变化,如果输入信号频率在锁相环的捕捉范围内发生变化,锁相环能捕捉到输人信号频率,并强迫VCO锁定在这个频率上。锁相环应用非常灵活,如果输入信号频率f1不等于VCO输出信号频率f2,而要求两者保持一定的关系,例如比例关系或差值关系,则可以在外部加入一个运算器,以满足不同工作的需要。过去的锁相环多采用分立元件和模拟电路构成,现在常使用集成电路的锁相环,CD4046是通用的CMOS锁相环集成电路,其特点是电源电压范围宽(为3V-18V),输入阻抗高(约100MΩ),动态功耗小,在中心频率f0为10kHz下功耗仅为600μW,属微功耗器件。图2是CD4046的引脚排列,采用16 脚双列直插式,各引脚功能如下: 图2?1脚相位输出端,环路人锁时为高电平,环路失锁时为低电平。 ?2脚相位比较器Ⅰ的输出端。 ?3脚比较信号输入端。 ?4脚压控振荡器输出端。 ?5脚禁止端,高电平时禁止,低电平时允许压控振荡器工作。 ?6、7脚外接振荡电容。 ?8、16脚电源的负端和正端。 ?9脚压控振荡器的控制端。 ?10脚解调输出端,用于FM解调。 ?11、12脚外接振荡电阻。

锁相环(PLL)基本原理(---ADI)

MT-086TUTORIAL Fundamentals of Phase Locked Loops (PLLs) FUNDAMENTAL PHASE LOCKED LOOP ARCHITECTURE A phase-locked loop is a feedback system combining a voltage controlled oscillator (VCO) and a phase comparator so connected that the oscillator maintains a constant phase angle relative to a reference signal. Phase-locked loops can be used, for example, to generate stable output high frequency signals from a fixed low-frequency signal. Figure 1A shows the basic model for a PLL. The PLL can be analyzed as a negative feedback system using Laplace Transform theory with a forward gain term, G(s), and a feedback term, H(s), as shown in Figure 1B. The usual equations for a negative feedback system apply. (B) STANDARD NEGATIVE FEEDBACK CONTROL SYSTEM MODEL (A) PLL MODEL ERROR DETECTOR LOOP FILTER VCO FEEDBACK DIVIDER PHASE DETECTOR CHARGE PUMP F O = N F REF Figure 1: Basic Phase Locked Loop (PLL) Model The basic blocks of the PLL are the Error Detector (composed of a phase frequency detector and a charge pump ), Loop Filter , VCO , and a Feedback Divider . Negative feedback forces the error signal, e(s), to approach zero at which point the feedback divider output and the reference frequency are in phase and frequency lock, and F O = N FREF . Referring to Figure 1, a system for using a PLL to generate higher frequencies than the input, the VCO oscillates at an angular frequency of ωO . A portion of this signal is fed back to the error detector, via a frequency divider with a ratio 1/N. This divided down frequency is fed to one input of the error detector. The other input in this example is a fixed reference signal. The error detector compares the signals at both inputs. When the two signal inputs are equal in phase and frequency, the error will be constant and the loop is said to be in a “locked” condition.

全数字锁相环原理及应用

全数字锁相环原理及应用 摘要:首先介绍全数字锁相环的结构,及各个模块的作用,接着讲述全数字锁相环的工作原理,然后介绍在全数字锁相环在调频和解调电路、频率合成器中的应用。 关键字:全数字锁相环数字环路鉴相器数字环路滤波器数字压控振荡器 1.前言 锁相环(PLL,Phase Locked Loop)技术在众多领域得到了广泛的应用。如信号处理,调制解调,时钟同步,倍频,频率综合等都应用到了锁相环技术。传统的锁相环由模拟电路实现,而全数字锁相环(ADPLL,All Digital Phase Locked Loop)与传统的模拟电路实现的PLL相比,具有精度高且不受温度和电压影响,环路带宽和中心频率编程可调,易于构建高阶锁相环等优点,并且应用在数字系统中时,不需A/D及D/A转换。随着通讯技术、集成电路技术的飞速发展和系统芯片的深入研究,全数字锁相环将会在其中得到更为广泛的应用。 2.全数字锁相环结构及原理 图1 数字锁相环路的基本结构 (1)数字环路鉴相器(DPD) 数字鉴相器也称采样鉴相器,是用来比较输入信号与压控振荡器输出信号的相位,它的输出电压是对应于这两个信号相位差的函数。它是锁相环路中的关键部件,数字鉴相器的形式可分为:过零采样鉴相器、触发器型数字鉴相器、超前—滞后型数字鉴相器和奈奎斯特速率取样鉴相器。 (2)数字环路滤波器(DLF) 数字环路滤波器在环路中对输入噪声起抑止作用,并且对环路的校正速度起调节作用。数字滤波器是一种专门的技术,有各种各样的结构形式和设计方法。引入数字环路滤波器和模拟锁相环路引入环路滤波器的目的一样,是作为校正网

络引入环路的。因此,合理的设计数字环路滤波器和选取合适的数字滤波器结构就能使DPLL满足预定的系统性能要求。 (3)数字压控振荡器(DCO) 数控振荡器,又称为数字钟。它在数字环路中所处的地位相当于模拟锁相环中的压控振荡器(VCO)。但是,它的输出是一个脉冲序列,而该输出脉冲序列的周期受数字环路滤波器送来的校正信号的控制。其控制特点是:前一采样时刻得到的校正信号将改变下一个采样时刻的脉冲时间位置。 全数字锁相环工作原理 全数字锁相环的基本工作过程如下: (1) 设输入信号 u i(t) 和本振信号(数字压控振荡器输出信号)u o(t) 分别是正弦和余弦信号,他们在数字鉴相器内进行比较,数字鉴相器的输出是一个与两者间的相位差成比例的电压u d(t)。 (2) 数字环路滤波器除数字鉴相器输出中的高频分量,然后把输出电压u c(t) 加到数字压控振荡器的输出端,数字压控振荡器的本振信号频率随着输入电压的变化而变化。如果两者频率不一致,则数字鉴相器的输出将产生低频变化分量,并通过低通滤波器使DCO的频率发生变化。只要环路设计恰当,则这种变化将使本振信号u o(t) 的频率与数字鉴相器输入信号u i(t) 的频率一致。 (3)最后,如果本振信号的频率和输入信号的频率完全一致,两者的相位差将保持某一个恒定值,则数字鉴相器的输出将是一个恒定直流电压(忽略高频分量),数字环路滤波器的输出也是一个直流电压,DCO的频率也将停止变化,这时,环路处于“锁定状态”。 3.全数字锁相环的特点及应用 全数字化锁相环的共同特点 (1)电路完全数字化,使用逻辑门电路和触发器电路。系统中只有“导通”和“截止”两种工作状态,受外界和电源的干扰的可能性大大减小,电路容易集成,易于制成全集成化的单片全数字锁相环路。因而系统的可靠性大大提高。 (2)全数字锁相环路还缓和甚至消除了模拟锁相环路中电压控制振荡器(VCO)的非线性以及环路中使用运算放大器和晶体管后而出现的饱和及运算放大器和鉴相器的零漂等对环路性能的影响。 (3)数字锁相环路的环路部件甚至整个环路都可以直接用微处理机来模拟而实现。 (4)全数字锁相环路中,因模拟量转变为数字量所引入的量化误差和离散控制造成的误差,只要系统设计得当,均可以被忽略。 全数字化锁相环的在实际工程中的应用 目前,全数字锁相环路(A DPLL)已在数字通信、无线电电子学及电力系统自动化等领域中得到了极为广泛的应用。A DPLL具有精度高、不受温度和电压影响、环路带宽和中心编程频率可调、易于构建高阶锁相环等优点。随着集成电路技术的发展,不仅能够制成频率较高的单片集成锁相环路,而且可以把整个系统集成到一个芯片上去。 全数字锁相环在调频和解调电路中的应用

相关文档