文档库 最新最全的文档下载
当前位置:文档库 › 自考计算机组成原理总复习资料

自考计算机组成原理总复习资料

自考计算机组成原理总复习资料
自考计算机组成原理总复习资料

、1

:cache错能力的数据编码。是一个高速小容量的临时存14机中的状态决定是否转移。、cache、存储器和输入输出接口合是主机:CPU:一种转移指令类型,不管状无条件转移储器,呢观念检测可以用高速的静态存储器芯片实现或集813、海明码:、一种常见的纠错码,出2位错误,并能纠正1位错误。存储成到CPU芯片内部,CPU最经常访问的一律进行转移操作。态如何,起来构成计算机的主机。其合法码字移动任:一种纠错码,14指令或操作数据。、9、指令格式:计算机指令编码的格式。循环码使计算机的核心部件,中央处理器,6、CPU:一个指令中所包含的代码的15、数据寄存器:是存放操作数运算和运算的意位后的结果仍然是一个合法码字。10、指令字长度:由运算器和控制器构成。215位数。、桶形移位器:一种移位电路,具有移重点结果,以减少访问存储器的次数,或者存:算术逻辑运算单元,执行各种算术、ALU3 位、移4操作数的寻址是程序计数位和移位等功能。811、相对寻址方式:放从存储器读取的数据以及写入存储的数据运算和逻辑运算。半加器电路时只对两个输入数据:16、的寄存器。半加器PC器的值加上一个偏移量,因为访问的数据:构成计算机软件的基本元素,表示指令4、不考虑数据的输出一个结果位,位进行加法,、从结构上提高存储器的带宽的措施有哪位置时相对于指令的位置。因此称为相对寻址15 成二进制数编码的操作命令。方式。进位,也不产生进行输出的加法器电路。些?他们的特点是什么?

05、位:计算机中的一个二进制数据代码(当数据的绝对值在浮点数中,在计算机中常用的寻址方式有哪几种、?17、阶码上溢:增加存储器的数据宽度和采用多提交叉措施:12 ,计算机中数据的最小表示单位。或1)称为3以至于大于阶码所能表示的数据,)直接寻太大,(1)立即数寻址(2)寄存器寻址(存储技术。

:一个数据字中包含的位数,一般为6、字长阶码上溢。)基址变址寻址。?址(4)寄存器间接寻址(516、在计算机中常用的寻址方式有哪几种648位,位等。16位,32位或尾数部分为在浮点数据编码中,18、机器零、3(1)立即数寻址(2)寄存器寻址()直接寻13指令系统:计算机中各种指令的集合称为::主要的系统软件,控制其它程、操作系统7时不论其阶码为何值,都看作是零值,称为指令系统,或指令集。0)寄存器间接寻址(址(45)基址变址寻址。

管理系统资源并且为用户提供操作序的运行,17、全相联映像:cache的一种地址映像方式,14机器零。、简叙RISC技术的主要特征。界面。(1)19、简叙奇偶校验码的构成规则:简化的指令系统(每个主存块可映像到任何cache块。2)以寄存器——寄存:将汇编语言程序翻译成机器语8、汇编程序器方式工作。(即数据的写入和3)指令一流水方式工作。(4)、(1)偶校验码的构成规则:所有信息位和单18堆栈:是一中存储部件,言程序的计算机软件。使用较多的通用寄存器一减少访存。读出不需要提供地址,而是根据写入的顺序决,即每个码字(包括个校验位的模2加等于0(5)委提:采用文字方式(助记符)表示汇编语言9、高直立茎能够执行速度,定读出的顺序:先存入的数据后读出,后写入绝大部分采用组合电校验位)中1的数目为偶数。

的程序设计语言。路控制器实现,不用或少用微程序实现。的数据先读出。(2)奇校验位的构成规则:所有信息位和单将高级语言程序转换成机器语10、编译程序:(6是一种只能读取数据不能写19个校验位的模2加等于1,即每个码字(包括、只读存储器:)通过精心选择的指令系统,并采用软件言程序的计算机软件。手段,他勇于存储计算机中的一些特别是优化变异技术,力求能高效的支校验位)中1的数目为奇数。入数据的存储器。解释执行高级语言程序的计算11:、解释程序持高级语言实现,生成优化的机器指令代码。固定的信息。、浮点数乘除法运算的五个步骤是什么?20 机软件,解释并执行源程序的语句。15、计算机的CPU的常用替换算法20、cache:随机法、先进先具有哪些方面的基本功、尾数的乘除法运算。1、阶码的加减运算。2如输入输出12部件之间的连接电路,、接口:能?(1)指令控制,控制指令的执行顺序,5、检查结出法、近期最少使用法等。3、规格化。4、对结果进行舍入。接口是主机与外围设备之间传输数据与控制对程序运行的控制。果是否溢出,即检查阶码是否溢出。(2)操作控制,随机法:使随机地确定替换存储单元。对指令的信息的电路。各个操作步骤,1、RAM 及指令内操作补助的控制。(:先进先出法替换最早调入的存储单元,cache3:随机访问存储器,能够快速方便地)汇编语言程序通常还提供有关该:13、伪指令数据运算,对数据进行算术和逻辑运算,以实访问地址中的内容,访问的速度与存储位置无中的块就像一个队列一样,先进入的县调出。表示程序段和程序装入内存中的位置的信息、现按计算机指令所规定的功能。包括:关。SRAM静态随机访问存储器,DRAM(4)异常处理近期最少使用法:能比较好的利用访存局部性数据段开始或结束的信息以及表示程序的开和中断处理,对动态随机访问存储器。CPUneibu 出现的意外情况进原理,替换出近期用得最少的存储块。文件还可以有条件汇编、始和结束的信息等,行处理,21、ROM2、:只读存储器,只能读取不能写入。快闪存储器:快擦存储器,它是在如处理运算中的溢出等错误情况以及EPROM表示这些信息的汇编包含、常熟定义等信息。处理外部设备的服务请求等。的制造技术基础萨化工发展起可被户编程一次。和EEPROM ROM、3PROM:可编程的,指令称为伪指令。164、EPROM:可擦写可编程的、常见指令的类型包括:,可以被来的一种新型的电可擦非挥发性存储器元件。ROM根据虚拟地址:在虚拟存储器中,CPU、14(1)数据传送指令(2命中率:访问主存的数据或代码存)算术运算指令(3)逻用户编程多次。cache22、指令生成的地址,又称为逻辑地址。辑运算指令(cache在于中的情形为cache命中,4命中)程序流控制指令cache5、相联存储器:一种按内容的存储器,每个是一种用二进制代码标识的能15:、机器语言(5)输入输出操作指令的命中率。的统计概率为cache (6)堆栈操作指令(7cache存储单元有匹配电路,可用于中查找数)够被计算机硬件直接识别和执行的语言。字符串处理指令(段式虚拟存储器的优点23、是用户地址空间分据。8)系统指令

:带符号数据表示方法之一,一个符、1原码17、地址码:指令中指定操作数地址的字段。多体交叉存储器6、:由多个相互独立、容量管理简单。段离,段表占用存储空间数量少,代表符10号位表示数据的正负,代表正号,相同的存储器构成的存储器。每个存储器独立式虚拟存储器的缺点是真个段落必须一起调操作码:指令中指定操作类型的字段。号,其余的代码表示数据的绝对值。18工作,读写操作重叠进行。、入或调出,这样使得段长不能大于内存容量。MIPS处理器的主要特征是::带符号数据表示方法之一,正数的2、补码(1)指令格式简单。只有三种指令格式,美一般而言,一cache直接映像7、:的一种地址映像方式,24、需要在一条机器指令中包含以负数的补码是将二进制位按补码与原码相同;中指令格式中的数据寻址方式是固定的。2)操作的类型(中的唯一对一个)操作数的存书(2)1下的信息(cache 个主存块只能映像到1

位取反后自阿最低位加采用流水执行方式提高指令执行速度。位置(3(3))操作结果的存储位置指定块。使:带符号数据表示方法之一,正数的、3反码用1cache:的一种地址映像方式,一条转移指令的操作过程是25、:)32个通用寄存器。(取指令,4)采用“比较与转移”组相联映像8、负数的反码是将二进制位按反码与原码相同;指令,将存储器空间分成若干组,各组之间是直接映将程序计数器从而使比较和转移这两个动作在以太哦PC 的内容作为地址访问指令位取反。一条指令内便可完成,并不需要设置条件码。存储器,并将像,而组内各块之间则是全相联映像。PC 的内容加上指令的字节数,带符号数据表示方法之一,符号位用移码4、1cache全写法9、:IR访问到的内容传送到指令寄存器。、指令周期写命中时的一种更新策略,)指(2:

从一条指令的启动到下一条指0表示正号,1代表负号,其余为与补码相同令的启动的间隔时间。cache操作时将数据既写入又写入主存。令译码。对指令寄存器中的操作码进行译码,:在浮点数据编码中,表示小数点的、5阶码2计算、机器周期:指令执行中每一步操作所需要更新指令地址,3命中时的一种更新策略,:写回法、10cache识别指令操作类型。()位置的代码的时间。时钟周期:计算机的主频周期一个指。PC吓一跳指令的地址,并将计算结果送入数据被替代cachecache写时不写主存,而当:在浮点数据编码中,表示数据有效6、尾数令周期一般需要几个机器周期完成,一个机器第四章出去时才写回主存。值的代码。周期需要几个时钟周期。、:汇编语言中采用的比较容易记忆助记符在内存与外存间建立的层次虚拟存储器、11:1:指数据的绝对值太大,以致大于数上溢7、3、硬连线逻辑使得程序能够像访问主存储器一样访问体系,的文字符号,表示指令中的操作码和操作数。:一种控制器逻辑,用一个时据编码所能表示的数据范围。序电路产生时间控制信号,、2主要用于解决计算机中主存储器外部存储器,采用组合逻辑电路寻址方式:对指令的地址码进行编码,以:指数据的绝对值太小,以致小于数8下溢、实现各种控制功能。的容量问题。得到操作数在存储器中地址的方式。

据编码所能表示的数据范围。4:按写分配、123、:精简指令系统计算机。不命中时的一种更新策cacheRISC、微程序:存储在控制存储器中的完成指令:一种带符号数乘法的方法,Booth、9算法功能的程序,由微指令组成,4略,写操作时把对应的数据块从主存调入:复杂指令系统计算机。CISC、它采用相加和相减的操作计算补码数据的乘55一种形成转移目标地址的方式,:、微指令cache。:控制器存储的控制代码,分为操相对转移、积。作控制部分和顺序控制部分。寄存器的值PC外部电路1(:简叙静态存储器的读操作、13)转移指令的目标指令地址是由

两个合法代码10:在信息编码中,海明距离、将需要读取的数据的二进驱动芯片的地址线,加上一个偏移量形成的。操作控制部分包含一个机器周期中每个位对应位上编码不同的位数。操作所需要的全部控制信号的编码,制地址送到存储器芯片(绝对转移6、WE*)将2控制信号用来发出一种形成转移目标地址的方式,:能够发现某些错误或具有自动纠、11:检错码管理和指挥全机工作的控制信号。即控制字。CS*置高电平,将转移指令的目标指令地址是有效地址直接指信号置低电平。OE*信号和错能力的数据编码。)存储器芯片开始读操作,然后驱动数据(3寄存器的内容有关。PC定,与顺序控制部分用来决定产生下一条微指令能够发现某些错误并具有自动纠、12纠错码:的微地址。:一种转移指令类型,根据计算条件转移、7 输出咸,将存储的数据输出。.

成输入输出操作。用6、微地址微指令在控制存储器中的存储地址。USB总线数据传输的定时规则。总线的外围设备已经十分丰富,包括:发生了一个外部的事件时,调用相:各申请总线的设备合用一条中断11打印机、鼠标器等各种外围设备,还有U盘。、3水平型微指令7、:一次呢观念地一并执行多、菊花链方式总线作为请求信号线,而总线控制设备的响应接口的快闪存储器,可实现盘是采用个并行操作控制信号的微指令。USB 应的处理程序的过程。U响简叙在比较简单的处理方式下,磁盘的功能。128、垂直型微指令:一种为指令类型,设置为、CPU 信号线则串接在各设备间。

他可支持需要对应中断的步骤。16、PCI4、独立请求方式操作码字段,采用为操作码编码法,由微操作:集中式总线裁决方式之一,是系统板上的总线,)关中断,进入一个短暂不在响应中断的(每一个设备都有一个独立的总线请求信号线1码规定微指令的功能。主存或相互之间进行快速访问的多种适配器,

控制器也给各设备分别发送送到总线控制器,这个总线是一状态并且允许主机以全速进行访问。、9控制存储器:微程序型控制器中存储微指为了中断处理结束之后,种时钟同步型输入/ 输出总线,总线接口上所令的存储器。(2)保存现场信息,一个总线响应信号。将现场相关寄存5、计数器定时查询方式10、微程序控制器主要由哪几部分构成?各部:集中式总线裁决方能恢复原来的状态继续执行,有的信号的逻辑和时序都作了严格的定义,数器信息作专门存储。位。PCI总线支持据线为32位,可扩充到64分的功用是什么?设备要求使用总线时通过一条公用请式之一,

)识别发出中断的外围设备,判断中断信微指令(3隐含的总线裁决,控制存储器答:微程序控制器主要由、即当一个总线设备占用PCI求线发出,总线控制器按计数器的值队各设备形成中高端服务程序入口号,另一个总线可同时请求总线,总线能确定中断来源,地址转移逻辑寄存器、微地址寄存器和等构成总线时,进行查询。

地址。:处理器总线,连接处理器和主系统总线)控制存储器:存放实现全部指令系统的够进行裁决。6、(1)执行中断服务程序,完成中断请求的操()所有微程序2微指令寄存器::存放由控制存通常把寄存器与运算器之间传4、17存,使计算机系统的主干。数据通路作。:总线的信息传输方式之一,将递信息的线路连同这些部件称为“数据通路”储器中独处的意条为指令信息。3)微地址寄7、消息传输总线需要传送的数据信息、地址信息和控制信18、传输速率存器:存放将要访问的下一条微指令的微地址:它指通道在传输数据时,1秒(5)恢复现场信息,将专门存储的信息恢复到相应的寄存器中。钟时间内传输的位数(bps(4)地址转移逻辑部分:能够测试执行中的),即传输速率。息等组合成一个固定的数据结构以猝发方式(、分辨率6:衡量显示器显示清晰度的指标,)开中断,继续执行中断前的程序代码,进行传输。状态信息,修改为地址寄存器中的内容,以便1并允许其他中断请求。:决定总线由哪个设备进行控制、总线裁决以像素的个数为标志。按修改后的内容去读下一条微指令。813、的方式称为总线裁决方式.。简叙CPU启动DMA 11、在专用通路计算机中,的操作步骤一条运算指令的执:2、灰度级显示器所显示的像素点的亮度差别。(3、总线裁决的控制方式可分为集中式控制和分行需要哪四个阶段?他们都执行什么动作?归零制:一种磁盘信息记录方式,正脉冲1)测试外围设备状态,向DMA接口的设备地址寄存器送入设备地址。布式控制两种。集中式总线裁决包括链式查询1)取指令,将程序计数器PC的内容作为地,在激烈下一个信息之,负脉冲表示0 表示1(方式,计数器定时查询方式和独立请求方式三2址访问指令存储器。将PC内容加上指令的字间记录电流要恢复到零电流。)写存储器地址寄存器,包括传输数据的起始地址。不归零制:一种磁盘信息记录方式,磁头种。4访问到的内容传送到指令寄存器节数,IR中。、(1,反向39、总线接口:连接在总线上的设备与总线的)指令译码及读取操作,对指令寄存器中的2)写长度计数器,包含传输数据的长度。、正向电流代表线圈上始终有电流,(4 电流代表0。操作进行姨妈识别指令类型,并根据指令地址)启动DMA控制逻辑,可以通过写命令连接电路。寄存器实现。系统总线接口的基本功能:(5将操码从寄存器或数据存储器中读取操作数,控制功、调相制:一种磁盘信息记录方式,在一个1)控制,14、3作数送ALU的输入端。)执行,控制其向利用电流相位的变化进行主设备会通过总磁化元的中间位置,简叙通道由启动到结束操作的工作过程。能是传递总线上的控制信息,通道接到启动信号后(线接口向从设备发出控制信息。0或者写。1)要到指令的内存单写1BAALU ALU发送操作命令,对端和端的元中去通道地址自,放在通道地址寄存器中。1电6、调频制(2)数据缓存,所数据缓存功能是在总线传)写回,将数据执行指令制定的运算操作。4:一种磁盘信息记录方式,写(流的变化频率是写0电流频率的2 递信息是,在总线接都种临时存放数据内容。)根据通道地址寄存器的值到内存中取第运算结果写回到结果寄存器,累加器,存储器2倍。一条通道指令,并放在通道指令寄存器中3()状态设置,状态设置是通过总线和转移(:12、微指令中,控制字的编码方式有三种3、7寻道时间:在磁盘中,将磁头定位到所要)通道程序执行对通过在通道指令寄存器中的求的磁道上所需要的时间。从设备的工作信息,1()直接表示法:这种方法

的特点是简单直主设备需要了解从设备的

相应为进行设置来告诉通道执行结构在执行:是码元传输速率,只没秒钟通过8信息,以便启动进一步的操作。、。观,控制字的输出直接用于操作koingzhi 波特率网承担欠指令后,自动转入下一条指令或者结信道传输的码元数。:微代码的分组减少了控制)数据转换,某些总线接口需要对传递的(4 编码表示法(2)束数据传输过程。比特率数据进行转换。:信息位传输速率,每秒钟通过信(存储器所需要存储的代码数量,但是编码的微4)通道程序的最后一条指令是一条结束指令,:、消息是一种固定格式的数据,通道在执行到这条结束指指令代码需要译码后才能成为控制信号。号传输的有效信息量。又称为数10令时就不再取下一条指令,:一种外围设备的寻址方法,将混合表示法3():能综合考虑微指令字长、据包。而是通知外设结束统一编址1、操作。:11、灵活性和执行速度方面的要求。提高总线信号速度的主要措施有输入输出设备中的控制寄存器,数据局寄存

15、状态寄存器和内存单元一样看待,)增加总线宽度。(1(2)增加传输的数据长器,将它们DMA:直接存储器访问,一种高速输入、产生后继微指令微地址可有三种方式:13输出方法。(3 )缩短总线长度,计数器方式,1)由称增量方式。用微程序和内存单元联合在一起编排地址。(16(4、在程序查询方式下,计算机进行输入操作将微程序uPC来产生下一条微指令的微地址,2、单独编址6 采用差分信号5 )降低信号电平()():一种外围设备的巡至方法,采的过程是:用专门的控制信号进行输入输出操作,中的各条微指令按执行顺序安排在控制存储采用多条总线内存的(1地址空间和输入输出设备的地址空间是分不是指数据的传输在一条信号线串行传输12、:)CPU器中,后继微地址由现行微地址加上一个增量启动外围设备,命令外围设备进行读操作。开的。路上按位进行的传输方式。形成。(2):并行传输是指数据的传输每个数据为都需要CPU断定方式2():断定方式根据机器状态决定:简单的处理中断方法,与多极3、单级中断读取外围设备的状态,等待输入设备的数据发送准备就绪。下一下一个微指令,下一个微指令的微地址,各种中断的优先级一样,中断对应,在处理一单独一条传输线的传输方式。

(3在一个公共的时钟信号控制下条微指令的微地址包含在担欠微指令的代码:个中断时不响应另一个中断请求,所以是单重)CPU从数据总线输入数据,放在内部的同步通信13、寄存器中。进行数据的传输方式。中断。中。在程序查询方式下,(计算机进行输出操作的过多极中断4、:处理多重中断的方法,采用按:结合方式)3,结合方式就是把增量方式与异步通信采用握手信号来控制数据的传输方程是与他同级优先级的方法,在处理某级中断时,式。断定方式相结合。:

(是主设备占用总线进行一次数据把指令执行所总线周期14、1)CPU启动外围设备,命令外围设备接受的中断或比它低级的中断请求不能中断它的:微程序控制的基本思想是、14数据。而比它优先级高的中断请求则能中断它处理,传输的时间。需要的所有控制信号存放在一个存储器中,需从请求总线到完成总线使用的操

(也就是把操作控制作序列称为总线事务,的处理。2)它是在一个总线周期中CPU读取外围设备的状态,等待输出设要时从这个存储器中读取。备准备好接受数据。中断屏蔽5发生的一系列活动。典型的系统作镍铬丝案的、:在处理中断时阻止其他中断。信号变成微指令,存放在一个专门的存储器

(CPU 3)工作信地址传输、数一条机器指令的功能通常用许多微指令组中。裁决操作、事务包括请求操作、CPU把数据放在数据总线上,向外围设:接受中断时保存、6现场保护备提供数据。外围设备将数据取走。息,如各寄存器的值。据传输和总线释放操作。在这个微指令叫做微程序。成的序列来实现,17现场恢复:、从中断处理程序返回前,CPU机厂商开发的一种串行总线。pc是由、15USB简叙中断裁决轮询方式:在轮询方式中,计算机运行时,一条又一条的读出这些微指CPU将专门存储的信息恢复到相应的寄存器中。是一个较复杂的标准总线,采用较复杂令,从而产生各种操作控制信号。USB依次查询各外围设备的状态寄存器,以

确定中断源,、7中断向量:由发出中断请求的设备通过输以硬连线方式组合逻:组合逻辑性控制器、15的数据包格式和传输协议支持各种外围设备遇到发出中断的外围设备就相应外围设备的中断请求,CPU入输出总线主动向的各种操作类型,辑型控制器产生各种控制信号的控制器。在主机端和外设端都要求大发出一个识别代码。中断服务的顺序就是轮询的顺序。自陷、第六章8CPU由该总线已成为事实上的工业标量的软件支持。:的某种内部因素引起的内部

18中断。、外围设备控制器的具体任务是::总线操作的请求方与响应方之总线事务、1 适合于计算机与外围设备之间或者计算机准。

(:由自陷指令引起的中断。软件中断、91 )从通道接受通道指令,控制外围设备完高速传输方式主要用于视频之间的高速通信。间的一次通信。成指定的操作。可完通道控制器自己的指令,:通道命令、10目前,采大容量存储设备的数据传输。设备、,规定时限ize:总线通信同步副总线协议、2.

(2)向通道提供外围设备的状态。

3)将各种外围设备的不同信号转换成通道(能够识别的标准信号。能够识别的标准信号。能够识别的标准信号。能够识别的标准信号。

、通道的功能:19、通道的功能:19、通道的功能:19、通道的功能:19按命令要的输入输操作命令,)的输入输操作命令,按命令要按命令要CPU的输入输操作命令,接受CPU((1)接受CPU的输入输操作命令,按命令要1(1)接受(1)接受CPU 求控制外围设备。求控制外围设备。求控制外围设备。求控制外围设备。

)从内存中读取通道程序并执行,控制外2(((2)从内存中读取通道程序并执行,控制外2)从内存中读取通道程序并执行,控制外2()从内存中读取通道程序并执行,控制外围设备的各种操作。围设备的各种操作。围设备的各种操作。围设备的各种操作。

)控制数据在内存于外围设备之间的传输(3)控制数据在内存于外围设备之间的传输()控制数据在内存于外围设备之间的传输((3)控制数据在内存于外围设备之间的传输33根据需要提供数据缓存空间以及提供数操作。根据需要提供数据缓存空间以及提供数根据需要提供数据缓存空间以及提供数操作。根据需要提供数据缓存空间以及提供数操作。操作。据存储的地址和传输的数据长度。据存储的地址和传输的数据长度。据存储的地址和传输的数据长度。据存储的地址和传输的数据长度。

)读取外围设备的状态信息,形成整个通)读取外围设备的状态信息,形成整个通(()读取外围设备的状态信息,形成整个通4)读取外围设备的状态信息,形成整个通44((4 或保存在内存中。道的状态信息,提供给CPU或保存在内存中。提供给CPU或保存在内存中。道的状态信息,道的状态信息,CPU道的状态信息,提供给或保存在内存中。提供给CPU发出输入输出本操作中断请求,5(5CPU(5)向发出输入输出本操作中断请求,)向5()向CPU发出输入输出本操作中断请求,CPU发出输入输出本操作中断请求,)向CPU(将外围设备的中断请求

和通道本身的中断请将外围设备的中断请求和通道本身的中断请将外围设备的中断请求和通道本身的中断请将外围设备的中断请求和通道本身的中断请求按次序报告CPU。CPUCPU。。CPU。求按次序报告求按次序报告求按次序报告共同使用存储器,CPU、为解决DMA与CPU共同使用存储器,20、为解决DMA与20、为解决DMACPU与共同使用存储器,CPU共同使用存储器,20、为解决DMA与20它它可采用专门的电路协调各模块的访存操作。可采用专门的电路协调各模块的访存操作。它它可采用专门的电路协调各模块的访存操作。可采用专门的电路协调各模块的访存操作。通常采用的方法有三对冲突的访问进行裁决,对冲突的访问进行裁决,通常采用的方法有三通常采用的方法有三对冲突的访问进行裁决,通常采用的方法有三对冲突的访问进行裁决,等待种:(1)CPUDMA的操作。DMA(1)CPU等待的操作。的操作。1)的操作。种:(1)CPU等待DMA CPU等待DMA种:(种:)3)3)DMA((2)DMA乘存储器空闲时访问存储器。33(2)DMA乘存储器空闲时访问存储器。())乘存储器空闲时访问存储器。((2乘存储器空闲时访问存储器。(2)DMA(CPU与CPU与DMA交替访问存储器。CPU 与DMA交替访问存储器。DMA 交替访问存储器。交替访问存储器。CPU与DMA 21、CPU与外围设备进行的通信有三种类型:21 21、CPU与外围设备进行的通信有三种类型:、CPU:21、CPU与外围设备进行的通信有三种类型与外围设备进行的通信有三种类型:向外围设备发出操作控制命令,操作向外围设备发出操作控制命令,操作(1) CPU(1) CPU向外围设备发出操作控制命令,操作(1) CPU向外围设备发出操作控制命令,操作(1) CPU还可能控制命令不久包括数据读写操作命令,还可能还可能控制命令不久包括数据读写操作命令,控制命令不久包括数据读写操作命令,控制命令不久包括数据读写操作命令,还可能包括其他操作控制命令,如光盘托架的运动、包括其他操作控制命令,如光盘托架的运动、包括其他操作控制命令,如光盘托架的运动、包括其他操作控制命令,如光盘托架的运动、打印机送纸、磁盘中磁头的移动和定位。打印机送纸、磁盘中磁头的移动和定位。打印机送纸、磁盘中磁头的移动和定位。打印机送纸、磁盘中磁头的移动和定位。

外围设外围设备向CPU提供状态信息。)(2CPU(2)外围设备向提供状态信息。外围设备向(2)CPU提供状态信息。外围设外围设外围设备向(2)CPU提供状态信息。外围设表示其工作状态,表备用一些信号线向CPU备用一些信号线向CPU表示其工作状态,表CPU备用一些信号线向表示其工作状态,表表示其工作状态,表备用一些信号线向CPU示其操作是否完成以及市否发生了错误情况示其操作是否完成以及市否发生了错误情况示其操作是否完成以及市否发生了错误情况示其操作是否完成以及市否发生了错误情况等。等。等。等。

这(3数据在数据在(3)这)(3数据在CPU与外围设备之间的传输。CPU与外围设备之间的传输。这CPU与外围设备之间的传输。(3)这)数据在CPU与外围设备之间的传输。会费大部分的输会费大部分的输是输入输出操作的主要内容,会费大部分的输是输入输出操作的主要内容,会费大部分的输是输入输出操作的主要内容,是输入输出操作的主要内容,入输出操作时间。入输出操作时间。入输出操作时间。入输出操作时间。

是一个具有输入输出处理器控制的是一个具有输入输出处理器控制的22、通道:22、通道:是一个具有输入输出处理器控制的是一个具有输入输出处理器控制的通道22、:、通道:22 输入输出接口。输入输出接口。输入输出接口。输入输出接口。

的数据块传输过程可分为三个阶段:、DMA23、的数据块传输过程可分为三个阶段:23DMA的数据块传输过程可分为三个阶段:DMA23、的数据块传输过程可分为三个阶段、:DMA23 传输前预处理、数据传输、传输后处理。传输前预处理、数据传输、传输后处理。传输后处理数据传输传输前预处理、、。传输前预处理、数据传输、传输后处理。

执行几条输入输出指令来执行几条输入输出指令来预处理阶段由CPUCPU预处理阶段由预处理阶段由CPU执行几条输入输出指令来执行几条输入输出指令来CPU预处理阶段由接口DMA接口完成,包括测试外围设备状态、向接口完成,包括测试外围设备状态、向DMA完成,包括测试外围设备状态、向DMADMA完成,包括测试外围设备状态、向接口的外围设备地址寄存器中送入外围设备号并的外围设备地址寄存器中送入外围设备号并的外围设备地址寄存器中送入外围设备号并的外围设备地址寄存器中送入外围设备号并同时向内存地址寄存器中送入启用外围设备,同时向内存地址寄存器中送入启用外围设备,启用外围设备,同时向内存地址寄存器中送入启用外围设备,同时向内存地址寄存器中送入向长度计数器中送入交换的数据个起始地址,向长度计数器中送入交换的数据个向长度计数器中送入交换的数据个起始地址,起始地址,向长度计数器中送入交换的数据个起始地址,数。数。数。数。可处理其他任务。CPUCPU可处理其他任务。可处理其他任务。在这些工作完成后,CPU在这些工作完成后,在这些工作完成后,CPU在这些工作完成后,可处理其他任务。控制器占用总线后,进行一个数据单元DMA 控制器占用总线后,进行一个数据单元控制器占用总线后,进行一个数据单元DMADMA控制器占用总线后,进行一个数据单元DMA数据块中的数据单元全部传输完成后的传输。数据块中的数据单元全部传输完成后的传输。数据块中的数据单元全部传输完成后的传输。数据块中的数据单元全部传输完成后的传输。CPU向CPUCPU向发出中断请求,由进行后处理。发出中断请求,由CPU发出中断请求,由CPU进行后处理。进行后处理。发出中断请求,由向CPUCPUCPU进行后处理。向的中断请DMA的后处理工作是:一旦DMADMA的后处理工作是:一旦DMA的中断请的后处理工作是:一旦DMADMA的中断请DMA的后处理工作是:一旦的中断请DMA停止原来程序的执行。转停止原来程序的执行。转停止原来程序的执行。转求得到响应,CPU求得到响应,停止原来程序的执行。转CPUCPU求得到响应,求得到响应,CPU的结束工去执行中断服务程序,做一些DMA做一些的结束工的结束工做一些DMA的结束工DMA 去执行中断服务程序,做一些DMA去执行中断服务程序,去执行中断服务程序,继DMA作,包括校验送入传输的数据、命令继作,包括校验送入传输的数据、命令DMA继作,包括校验送入传输的数据、命令DMA作,包括校验送入传输的数据、命令DMA继DMA续传输数据或停止工作。DMA续传输数据或停止工作。DMA续传输数据或停止工作。工作。续传输数据或停止DMA通道可分成字节多路、通道可分成根据数据传输方式,24、字节多路24根据数据传输方式,根据数据传输方式,、24通道可分成字节多路根据数据传输方式,24通道可分成字节多路、数组多路通道和选择通道通道、三种类型。通道、选择通道和数组多路通道三种类型。通道、选择通道和数组多路通道三种类型。通道、选择通道和数组多路通道三种类型。)选择通道:对于高速传输,通道难以同()选择通道:对于高速传输,通道难以同)选择通道:对于高速传输,通道难以同1(1:对于高速传输,通道难以同选择通道)(1(1只能一次只能一次时对多个这样的外围设备进行操作,只能一次时对多个这样的外围设备进行操作,只能一次时对多个这样的外围设备进行操作,时对多个这样的外围设备进行操作,这种通道称为选择对一个外围设备进行操作,这种通道称为选择对一个外围设备进行操作,对一个外围设备进行操作,这种通道称为选择这种通道称为选择对一个外围设备进行操作,通道。通道。通道。通道。)数组多路通道:数组多路通道以固定大)2(2)数组多路通道:数组多路通道以固定大2((:数组多路通道以固定大数组多路通道)数组多路通道:数组多路通道以固定大2(为单位在若干高速传输操为单位在若干高速传输操为单位在若干高速传输操(数据块)小的数足(数据块)小的数足为单位在若干高速传输操(数据块)小的数足小的数足(数据块)作之间进行交叉复用。作之间进行交叉复用。作之间进行交叉复用。作之间进行交叉复用。字节多路通道用于连接(3(.)字节多路通道:3()字节多路通道:(3字节多路通道用于连接字节多路通道用于连接.字节多路通道:)3.)字节多路通道:.字节多路通道用于连接多个慢速的和中速的外围设备。多个慢速的和中速的外围设备。多个慢速的和中速的外围设备。多个慢速的和中速的外围设备。

计算机组成原理实验1-汇编语言实验

微处理器与接口技术 实验指导

实验一监控程序与汇编语言程序设计实验 一、实验要求 1、实验之前认真预习,明确实验的目的和具体实验内容,设计好主要的待实验的程序,做好实验之前的必要准备。 2、想好实验的操作步骤,明确通过实验到底可以学习哪些知识,想一想怎么样有意识地提高教学实验的真正效果。 3、在教学实验过程中,要爱护教学实验设备,认真记录和仔细分析遇到的现象与问题,找出解决问题的办法,有意识地提高自己创新思维能力。 4、实验之后认真写出实验报告,重点在于预习时准备的内容,实验数据,实验过程、遇到的现象和解决问题的办法,自己的收获体会,对改进教学实验安排的建议等。善于总结和发现问题,写好实验报告是培养实际工作能力非常重要的一个环节,应给以足够的重视。 二、实验目的 【1】学习和了解TEC-XP16教学实验系统监控命令的用法; 【2】学习和了解TEC-XP16教学实验系统的指令系统;

【3】学习简单的TEC-XP16教学实验系统汇编程序设计。 三、实验注意事项 (一)实验箱检查 【1】连接电源线和通讯线前TEC-XP16实验系统的电源开关一定要处于断开状态,否则可能会对TEC-XP16实验系统上的芯片和PC机的串口造成损害。 【2】五位控制开关的功能示意图如下: 【3】几种常用的工作方式【开关拨到上方表示为1,拨到下方为0】 (二)软件操作注意事项 【1】用户在选择串口时,选定的是PC机的串口1或串口2,而不是TEC-XP16实验系统上的串口。即选定的是用户实验时通讯线接的PC机的端口; 【2】如果在运行到第五步时没有出现应该出现的界面,用户需要检查是不是打开了两个软件界面,若是,关掉其中一个再试; 【3】有时若TEC-XP16实验系统不通讯,也可以重新启动软件或是重新启动PC再试; 【4】在打开该应用软件时,其它的同样会用到该串口的应用软件要先关掉。

4月全国计算机组成原理自考试题及答案解析

全国2019年4月高等教育自学考试 计算机组成原理试题 课程代码:02318 一、单项选择题(本大题共15小题,每小题1分,共15分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.若二进制数为1111.101,则相应的十进制数为( )。 A.15.625 B.15.5 C.14.625 D. 14.5 2.在下列设备中,属于图形输入设备的是( )。 A.键盘 B.条形码阅读机 C.数字化仪 D.显示器 3.磁表面存储器记录信息是利用磁性材料的( )。 A.磁滞回归线特性 B.磁场渗透特性 C.磁场分布特性 D.磁场吸引力特性 4.系统级的总线是用来连接( )。 A.CPU内部的运算器和寄存器 B.主机系统板上的所有部件 C.主机系统板上的各个芯片 D.系统中的各个功能模块或设备 5.在微程序控制中,把操作控制信号编成( )。 A.微指令 B.微地址 C.操作码 D.程序 6.从一条指令的启动到下一条指令的启动的间隔时间称为( )。 A.时钟周期 B.机器周期 C.工作周期 D.指令周期 7.假设寄存器R中的数为200,主存地址为200和300的存储单元中存放的内容分别是300和400,若访问到的操作数为200,则所采用的寻址方式为( )。 A.立即寻址#200 B.寄存器间接寻址(R) C.存储器间接寻址(200) D.直接寻址200 8.表示主存容量的常用单位为( )。 A.数据块数 B.字节数 C.扇区数 D.记录项数 9.已知一个8位寄存器的数值为11001011,将该寄存器逻辑左移一位后,结果为( )。 A.01100101 B.10010111 C.01100111 D.10010110 10.多位二进制加法器中每一位的进位传播信号P为( )。 A.X i+Y i B.X i Y i C.X i+Y i+C i D.X i⊕Y i⊕C i 11.存储器的随机访问方式是指( )。 A.可随意访问存储器 1

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理实验题

一.这是一个判断某一年是否为润年的程序,运行可执行程序Ifleap.exe后,输入具体的年份,可输出是本年是否为闰年的提示信息。 DATA SEGMENT ;定义数据段 INFON DB 0DH,0AH,'PLEASE INPUT A YEAR: $' ;声明空间存储输入提示信息,其中0d回车,0a换行 Y DB 0DH,0AH,'THIS IS A LEAP YEAR! $' ;声明空间存储是闰年提示信息,同上另起一行输出 N DB 0DH,0AH,'THIS IS NOT A LEAP YEAR! $' ;声明空间存储不是闰年提示信息,同上另起一行输出 W DW 0 ;声明空间存储输入年份解析后生成的年份数字 BUF DB 8 DB ? DB 8 DUP(?) ;声明空间作为缓冲区,总共10个字节,第一个表示准备接受的字DATA ENDS STACK SEGMENT STACK DB 200 DUP(0) STACK ENDS ;定义一个栈,200字节 CODE SEGMENT ASSUME DS:DATA,SS:STACK,CS:CODE START:MOV AX,DATA MOV DS,AX ;指定堆栈 LEA DX,INFON ;在屏幕上显示提示信息 MOV AH,9 INT 21H ;将infon开始的字符串输出到屏幕 LEA DX,BUF ;从键盘输入年份字符串 MOV AH,10 INT 21H MOV CL, [BUF+1] ;获取实际输入长度 LEA DI,BUF+2 ;获取字符串首地址 CALL DATACATE ;调用子程序,将输入字符串传化为年份数字 CALL IFYEARS ;调用子程序,判断是否闰年 JC A1 ;如果进位标记C为1则跳转到a1

02318自考计算机组成原理(问答)总结讲解

1.简述主存与CACHE之间的映象方式。 【答案】主存与CACHE之间的映象方式有直接映象、全相联印象、组相联印象三种。直接映象是指主存储器中的每个块只能够映象到CACHE中唯一一个指定块的地址映象方式。全相联映象是指每个主存块都能够映象到任一CACHE块的地址映象方式。组相联印象是直接映象和全相联映象两种方式的结合,它将存储空间分成若干组,在组间采用直接映象方式,而在组内采用全相联印象方式。 2.简述存储器间接寻址方式的含义,说明其寻址过程。 【答案】含义:操作数的地址在主存储器中,其存储器地址在指令中给出。 寻址过程:从指令中取出存储器地址,根据这个地址从存储器中读出操作数的地址,再根据这个操作数的地址访问主存,读出操作数。 3.微程序控制器主要由哪几部分构成?它是如何产生控制信号的? 【答案】微程序控制器主要由控制存储器、微指令寄存器μIR、微地址寄存器μAR、地址转移逻辑等构成。 操作控制信号的产生:事先把操作控制信号以代码形式构成微指令,然后存放到控制存储器中,取出微指令时,其代码直接或译码产生操作控制信号。 4.简述提高总线速度的措施。 【答案】从物理层次:1增加总线宽度;2增加传输的数据长度;3缩短总线长度;4降低信号电平;5采用差分信号;6采用多条总线。从逻辑层次:1简化总线传输协议;2采用总线复用技术;3采用消息传输协议。 5.简述中断方式的接口控制器功能。 【答案】中断方式的接口控制器功能:①能向CPU发出中断请求信号;②能发出识别代码提供引导CPU在响应中断请求后转入相应服务程序的地址;③CPU要能够对中断请求进行允许或禁止的控制;④能使中断请求参加优先级排队。 6.CPU与DMA访问内存冲突的裁决的方法有哪些? 【答案】①CPU等待DMA的操作;②DMA乘存储器空闲时访问存储器;③CPU与DMA交替访问存储器。 08真题1.高速缓存Cache用来存放什么内容?设置它的主要目的是什么? (3分) 参考答案:Cache中存放当前活跃的程序和数据,作为主存活跃区的副本。(2分) 设置它的主要目的是解决CPU 与主存之间的速度匹配。(2分) 2.什么是堆栈?说明堆栈指针SP的作用。(3分) 参考答案:堆栈是一种按先进后出(或说成是后进先出)顺序进行存取的数据结构或存储区域。常在主存中划一小块连续单元区作为堆栈。(3分) 堆栈指针SP是用来保存最后进入堆栈的位置(栈顶)的寄存器。(1分) 3.简述微程序控制方式的基本思想。它有什么优点和缺点? (3分) 参考答案:(P132-134)微程序控制的基本思想可归纳为: (1)将微操作命令以微码形式编成微指令,并事先固化在控制存储器(ROM)中。(1分) (2)将一条机器指令的操作分解为若干微操作序列,用一段微程序对应地解释执行,微程序中每条微指令所包含的微命令控制实现一步操作。(1分) 优点:结构规整,有利于设计自动化;易于修改与扩展,灵活性、通用性强;适于作系列机的控制器,性能价格比较高;可靠性较高,易于诊断与维护。(1分) 缺点:速度相对较慢。(1分) 4.什么是中断?请说明它的特点和适用场合。(3分) 参考答案:中断是指在计算机的运行过程中,CPU接到更紧迫的服务请求而暂停执行现行程序,转去执行中断服务程序,以处理某些随机事态;并在处理完毕后自动恢复原程序的执行。(2分) 主要特点是具有随机性,通过执行程序来处理随机事件。(1分) 它适用于中低速I/O操作的管理,以及处理随机发生的复杂事件。(1分) 5.什么是串行总线?什么是并行总线?试比较它们的应用场合。(3分) 参考答案:串行总线采用一条数据线;并行总线采用多条线路并行地传输数据信号。(2分) 串行总线一般用于较长距离的较低速率的数据传输;并行总线一般用于较短距离的高速数据传输。(2分) 07真题1.半导体随机访问存储器芯片主要有哪两种类型?(5分) 参考答案:主要有静态存储器(SRAM)芯片和动态存储器(DRAM)芯片。 2.简述CISC和RISC的含义。(5分) 参考答案:CISC:复杂指令系统计算机,其指令条数较多,指令功能和结构复杂,进而机器结构复杂。(2分)RISC:精简指令系统计算机,其指令条数较少,指令结构和功能简单,进而机器结构简单,提高了机器的性能价格比。

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

自考计算机组成原理 改错题

改错题 1. 运算器的功能就是执行加、减、乘、除四则运算。 2.已知x=0.1011,那么[-x]补为1.0101,[x/2]补为0.11011. 3.1KB=1000字节 4.两个定点数相减,不会产生溢出。 5.主存储器和CPU之间增加高速缓冲存储器的目的是扩大CPU中通用寄存器的数量。 6.在虚拟存储器中,当程序正在执行时,一般由装入程序完成地址映射。 7.在虚拟存储器中,常将存储空间按程序模块大小划分为若干页。 8.二地址指令中,操作数的物理位置可安排在两个主存单元。 9.在寄存器寻址方式中,指定寄存器中存放的是操作数地址。 10.位操作类指令的功能是对CPU内部通用寄存器或主存某一单元任一位进行状态检测或强置。 11.一条机器指令由一段微指令编成的维程序来解释执行。 12.指令周期、机器周期和工作脉冲构成三级时序系统。 13.减少控制存储器容量,是设计微程序控制器所追求的目标之一。 14.总线中地址线的功能是用于指定存储器单元和I/O设备接口电路的选择地址。 15.单总线结构系统是指:各大功能部件之间用一根信号线连接。 16.如果认为CPU等待设备的状态信号是处于非工作状态(既踏步等待),那么,程序查询方式的主机与设备是串行工作的。 17.在计算机系统中,除CPU外的其它部件和设备都称为外围设备。 18.磁盘的位价格就是单位数据容量的价格,等于磁盘设备的价格除以容量。 19.写入硬盘时,若一个文件的长度超出一个磁道的容量,则继续写入同面的相邻磁道中。 20.随机扫描方式画图速度快,图象清晰,但价格昂贵。 21.单级中断与多级中断的区别是单级中断的硬件结构是一维中断,而多级中断的硬件结构是二维中断。

计算机组成原理练习题-答案

一、填空题 1.对存储器的要求是速度快,_容量大_____,_价位低_____。为了解决这方面的矛盾,计算机采用多级存储体系结构。 2.指令系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。 3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。 4.完成一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。 5.常见的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。 6.微指令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。 7.对于一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中 8.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为 2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。 9.某小数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。 10.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU交替访问主存。 11.设 n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。 12.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。 13.一个总线传输周期包括申请分配阶段、寻址阶段、传输阶段和结束阶段四个阶段。 14.CPU采用同步控制方式时,控制器使用机器周期和节拍组成的多极时序系统。

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理-实验一

《计算机组成原理-实验一》 实验报告 韶关学院数信学院 2010级信息与计算科学 2012年 9月

实验一:本实验分三部分: 1熟悉Linux常用命令(上) 2 Linux下程序设计基础(中) 3汇编语言与机器指令(下)(暂略) 实验一熟悉Linux常用命令(上) 一,实验要求: 1,熟练Linux下的常用命令. 2,熟练地操作linux. 二,实验内容: 练习使用Linux常用命令; 三,实验环境: windows7的vmware的Redhat。 四,Linux下常用命令实验操作:(以下命令若权限不够,要在命令前加sudo) 1. 写出下面命令每个部分含义,字符C表示命令(Command)、O表示选项(Option)、OA表示选项的参数(Option Argument)、CA表示命令的参数(Command Argument),如: C OOA O OA C A Answer $ lpr –Pspr –n 3 proposal.ps Command line Linux命令行的语法结构: $ command [[-]option(s)] [option argument(s)] [command argument(s)] 含义: ● $:linux系统提示符,您的linux系统可能是其他的提示符 ● Command :linux命令的名字 ● [[-]option(s)] :改变命令行为的一个或多个修饰符,即选项 ● [option argument(s)] :选项的参数 ● [command argument(s)] :命令的参数 1) ls -la convert.txt 2) more convert.txt 3) pwd 4) cat file1 file2 file3 5) rm -r temp 6) ping –c 3 https://www.wendangku.net/doc/a86731302.html, 7) telnet https://www.wendangku.net/doc/a86731302.html, 13 8) cc -o short short.c -lbaked 9) chmod u+rw file1.c 10) uname –n

2018年4月自考《计算机组成原理》真题(完整试卷)含参考答案

2018年4月自考《计算机组成原理》真题(完整试卷)含参考答案 选择题部分 一、单项选择题:本大题共10小题,每小题1分,共10分。在每小题列出的备选项中只有一项是最符合题 目要求的,请将其选出。 1.计算机中的U盘属于 A.运算器B.控制器 C.内存D.外存 2.下列软件中不属于系统软件的是 A.操作系统B.语言处理系统 C.文字处理软件D.数据库管理系统 3.IEEE754单精度(32位)浮点数格式中指数的偏移常数为 A.127 B.128 C.1023 D.1024 4.若十进制数为-l00,则其对应的8位补码[X]补为 A.01100100 B.11100lOO C.10011100 D.1001101l 5.采用寄存器直接寻址方式的操作数在 A.指令中B.通用寄存器中 C.内存中D.外存中 6.MIPS计算机的汇编指令“1w $s1,200($s2)”的功能是 A.$sl=Memory[$s2+200] B.$s2=Memory[$s1+200] C.$s1=Memory[$s2]+200 D.$s2=Memory[$s1]+200 7.微程序存放在 A.主存储器中B.控制存储器中 C.高速缓存中D.外存储器中 8.—个1 6MB的主存储器,按字节编址需要地址线 A.14条B.24条 C.34条D.44条 9.在计算机的存储器层次结构中,速度最陕的是 A.硬盘B.寄存器 C.高速缓存D.主存 10.在浮点数的乘法中,乘积的尾数规格化后,小数点左边两位是 A.00 8.01

C.10 D.11 非选择题部分 二、填空题:本大题共15空,每空1分,共15分。 11.MIPS指令流水线数据通路通常包括取指、译码、_____、_____和五段流水线。 12.Cache行和主存块之间的映射方式有_____、_____和_____三种。 13.磁盘的平均存取时间由_____、_____和_____三部分构成。 14.CPU的数据通路的时钟周期长度包括Clk—to-Q时间(也叫锁存延迟)、所有操作元件中最长操作延迟时间、_____和_____等四个部分。 15.I/O端口的编址方式有_____和_____两种方式。 16.在Intel处理器中将外部中断分为_____中断和_____中断两类。 三、名词解释题:本大题共5小题,每小题3分,共15分。 17.标志寄存器 18.总线宽度 19.随机存取存储器RAM 20.微地址 21.DMA控制器 四、简答题:本大题共4小题,每小题5分,共20分。 22.试说明在计算机系统的层次化结构中,最终用户、应用程序员、系统管理员和系统程序员分别与操作系统、汇编程序、编译程序和应用程序这四个程序的哪一个相对应? 23.汉字字符的编码有哪三类?简述各类编码的作用,并分别列举一个实际的编码。 24.在浮点数加减法中对阶的目的是什么?对阶原则是什么? 25.试比较变址寻址方式和基址寻址方式的异同。 五、计算题:本大题共3小题,第26、27小题各6分,第28小题4分,共16分。 26.某计算机的时钟周期为2.5ns,指令集中有A?D四种不同类型的指令,这四类指令的平均CPI分别为3、2、4、5。某高级语言程序P经两个不同版本的编译程序,编译成了两种不同的指令序列X1和X2,两个指令序列包含的指令情况如下表所示。 (1)该计算机的峰值MIPS是多少?

计算机组成原理典型例题讲解

分析设计计算: 1.CPU结构如图1所示,其中有一个累加寄存器AC,一个状态条件寄存器,各部分之间的连线表示数据通路,箭头表示信息传送方向。 (1)标明图中四个寄存器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/ 取访问的数据通路。 图1 解: (1)a为数据缓冲寄存器DR ,b为指令寄存器IR ,c为主存地址寄存器,d为程序计数器PC。 (2)主存M →缓冲寄存器DR →指令寄存器IR →操作控制器。 (3)存贮器读:M →缓冲寄存器DR →ALU →AC 存贮器写:AC →缓冲寄存器DR →M

2. 某机器中,配有一个ROM芯片,地址空间0000H—3FFFH。现在再用几个16K×8的芯片构成一个32K×8的RAM区域,使其地址空间为8000H—FFFFH。假设此RAM芯片有/CS和/WE信号控制端。CPU地址总线为A15—A0,数据总线为D7—D0,控制信号为R//W,MREQ(存储器请求),当且仅当MREQ 和R//W同时有效时,CPU才能对有存储器进行读(或写)。 (1)满足已知条件的存储器,画出地址码方案。 (2)画出此CPU与上述ROM芯片和RAM芯片的连接图。 解:存储器地址空间分布如图1所示,分三组,每组16K×8位。 由此可得存储器方案要点如下: (1)用两片16K*8 RAM芯片位进行串联连接,构成32K*8的RAM区域。片内地址:A0——A13,片选地址为:A14——A15; (2)译码使用2 :4 译码器; (3)用/MREQ 作为2 :4译码器使能控制端,该信号低电平(有效)时,译码器工作。 (4)CPU的R / /W信号与RAM的/WE端连接,当R // W = 1时存储器执行读操作,当R // W = 0时,存储器执行写操作。如图1 0000 3FFF 8000

2012年4月自考计算机组成原理试题及答案

全国2012年4月高等教育自学考试 计算机组成原理试题 课程代码:02318 一、单项选择题(本大题共15小题,每小题2分,共30分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.存储器的基本单位字节的长度是( B ) A.1bit B.8bit C.16bit D.32bit 2.定点小数是指( B ) A.小数点固定在最低位数的后面B.小数点固定在最高位数的后面 C.小数点的位置可以随意指定D.没有小数的数 3.-1的8位补码表示是( D ) A.00000001 B.10000001 C.10000000 D.11111111 4.二进制数01101011对应的十进制数为( B ) A.100 B.107 C.117 D.127 5.下列采用偶校验的8位奇偶校验编码中正确的是( D ) A.10111010 B.11110010 C.01100111 D.00000000 6.下列存储器中不是 ..半导体存储器的是( B ) A.静态存储器B.动态存储器 C.U盘D.光盘

7.容量为4KB的存储器的最少地址位数为( B ) A.11 B.12 C.13 D.14 8.下列指令助记符中表示求补操作的是( D ) A.ADD B.COM C.AND D.NEG 9.下列寻址方式中出现在指令“ADD R2, (R1)”中的是( C )A.隐含寻址B.存储器间接寻址 C.寄存器间接寻址D.直接寻址 10.下列寄存器中用于与存储器之间传送数据的是( A )A.MDR B.MAR C.PC D.SP 11.下列说法不正确 ...的是( C ) A.硬连线控制器比微程序控制器设计复杂 B.硬连线控制器不便于实现复杂指令的控制 C.微程序控制器比硬连线控制器速度要快 D.微程序控制器将指令执行所需要的控制信号存放在存储器中12.UART接口属于( B ) A.同步串行传送总线B.异步串行传送总线 C.同步并行传送总线D.异步并行传送总线 13.一个字长为16位的并行总线包含有几条数据线?( B )A.8条B.16条 C.32条D.64条

计算机组成原理试题

计算机组成原理试题(A) 教学中心名称考点成绩 专业、班级姓名学号 一、填空题(每空1分,共10分) 1.计算机中的信息可分为两类,它们是信息和信息。 2.第二代电子数字计算机所用的基本器件是。 3.设X=-9/16,[X]补= 。 4.运算器中的核心部件是。 5.浮点表示法中,阶码决定浮点数的,尾数决定浮点数的。 6.CPU中PC的主要功能是。 7.按照信息的传送格式,接口可分为和两大类。 二、选择题(每小题2分,共20分) 1. 某主存储器按字节编址,地址线数目为16,这个存储器的容量为 . A 16K×16位B.32K×8位、C.64K ×8位 2.采用DMA方式传送数据时,每传送一个数据就要占用的时间。 A一个指令周期B.一个存储周期C.一个机器周期 3. Cache是。 A.主存的一部分 B.为扩大存储容量而设置的 C.为提高存储系统的速度而设置的 4.操作控制器的功能是。 A产生操作控制信号,以解释并执行指令 B、产生时序信号C.对指令泽码 5.中断响应时,保存PC并更新PC的内容,主要是为了. A.提高处理机的速度 B.能进入中断处理程字并能正确返回原程序 C.便于编制中断处理程序 6.计算机辅助设计是指。 A.CAD B.CAI C.CAT 7.某机字长32位,内存容量为4MW,若按字节编址,其寻址范围为. A.0~4M B。0~16M C.0~32M 8.在磁盘存储器中,与转速无关的技术指标是。 A.存储密度B.平均等待时间C.数据传输率 9.设指令中的形式地址为以相对寻址时,操作数的有效地址E=. A.(D)B.(PC)+D C.(R)+D

10.计算机中,执行部件接控制部件的命令所作的不可再分的操作称为. A.微命令B.微操作C操作 三.判断改错题(每小题2分,共10分。正确,在括号内打√;错误,则打×并更正) 1.磁盘存储器是一种随机存取存储器。() 2.零地址指令就是没有操作数的指令。() 3.时序发生器是控制器的主要部件之一。() 4.设X=10110110,采奇校验时,其校验位C=1。() 5.中断处理过程中,保存现场必须在中断服务之后进行。() 四.简答题(每小题10分,共40分) 1.CPU由哪些主要部件组成?说明各部件的作用。 2.试述高速缓冲存储器的基本设计思想和特点。 3.主机与外部设备间为什么要设置接口? 4.为什么说取指令是公操作?在取指令阶段,CPU主要完成哪些操作? 五.计算题(共10 分) 1.设X=0.0101,Y=-0.1101,用双符号补码计算X+Y=?和X-Y=?并判断其结果是否溢出。(5分) 2. 设X=8C3E(H),Y=B6DF(H),Z=54D2(H)。求X∧Y⊕Z=? (5分) 七.设计题(10分) 某机字长16 位,主存按字编址,容量为8MW,请用如下RAM芯片为该机设计一个主存。 A A0 07 1.地址线和数据线各有多少根? 2.共用多少这种芯片? 3.画出其组成框图,并正确标出各信号线。

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

2018年自考《计算机组成原理》试题

2018年自考《计算机组成原理》试题 一、填空题(每空1分,共15分) 1、第一代电子数字计算机所用的基本器件是。 2、固件是一种特性的硬件。 3、设x=-(13/16),[X]补= 。 4、在浮点补码加减法运算中,当运算结果的尾数出现___________和____________时,需进行向左规格化操作。 5、磁盘存储器中,可寻址的最小单位是______________。 6、按其读写性,我们可将半导体存储器分为两种。 7、操作表达式为(Ad1)OP(Ad2)→Ad1的指令,称为_________地址指令。 8、指令译码的主要功能是对_______________进行译码。 9、PSW用于存放程序运行时的工作方式、____________和___________。 10、在用分段直接编码法组合成的微指令中,应将具有___________性的微命令分在不同字段内。 11、键盘是一种________设备。 12、采用中断屏蔽码技术,可以改变各设备的_______________。 13、中断过程实质上是一种程序的____________过程。 14、设X=—51/64,当字长为7位(含一位符号位)时,[X]原=___________,[X]补=________。 15、运算器的基本组成中,核心部件是_____________。 16、在在计算机中,各部件间往来的信息分为三种类型,除数据信息

外,它们是______和______ 信息。 17、在浮点补码加减运算中,当运算结果的尾数出现______和______ 形式时,需要进行向右规格化操作。 18、一般来说,机器指令包括两个部分内容,它们是________和__________。 19、总线的通讯方式有______________和__________两种。 20、按信息传送的格式,接口可分为______________接口和_________ 接口两大类。 21、Cache存贮器中采用的主要替换算法有__________________和______________ 。 22、任何进位计数制都包含基数和位权两个基本要素。十六进制的基数为,其中第i位的权为。 23、8421BCD码中,十进制数字“5”的BCD码的前面加上奇校验位后,为。 24、设字长8位(含1位符号位),真值X=-1011,则[X]原= 。 25、有静态RAM与动态RAM可供选择,在构成大容量主存时,一般就选择。 26主存储器进行两次连续、独立的操作(读/写)之间所需的时间称作。 27、程序访问的为Cache的引入提供了理论依据。 28、某机器指令系统中,指令的操作码为8位,则该指令系统最多可以有种指令。

计算机组成原理练习题答案

一、选择题 1、完整得计算机系统应包括运算器、存储器、控制器。 一个完整得计算系统应该就是:硬件系统与软件系统,硬件系统应该包括运算器,控制器,存储器,输入设备与输出设备,软件系统包括系统软件与应用软件、而您给得答案中B与D就是可以排除得,也就就是不能选,A与C两个中A得可能性最大,答案只能选A、 3、冯、诺依曼计算机工作方式得基本特点就是按地址访问并顺序执行指令. 4、移码表示法主要用于表示浮点数中得阶码。 5、动态RAM得刷新就是以行为单位得。 8、在定点运算器中产生溢出得原因就是运算得结果得超出了机器得表示范围。 10、在指令得地址字段中,直接指出操作数本身得寻址方式,称为立即寻址. 11、目前得计算机,从原理上讲指令与数据都以二进制形式存放. 13、计算机问世至今,新型机器不断推陈出新,不管怎样更新,依然保有“存储程序”得概念,最早提出这种概念得就是冯、诺依曼。 16、在CPU中,跟踪后继指令地址得寄存器就是程序计数器。 20、系统总线中地址总线得作用就是用于选择指定得存储单元或外设。 21、计算机中得主机包含运算器、控制器、存储器。 23、原码一位乘运算,乘积得符号位由两个操作数得符号进行异或运算. 24、对于真值“0”表示形式唯一得机器数就是移码与补码。 25、若[X]补=0、0100110,则[X]反= 0、0100110。--x为正数 26、在CPU中,存放当前执行指令得寄存器就是指令寄存器。 保存当前正在执行得指令得寄存器称为(指令寄存器)。 指示当前正在执行得指令地址得寄存器称为(程序计数器或指令计数器)。 27、下列编码中通常用作字符编码得就是ASCII码。 ASCII ASCII(American Standard CodeforInformationInterchange,美国信息互换标准代码)就是基于拉丁字母得一套电脑编码系统.它主要用于显示现代英语与其她西欧语言。它就是现今最通用得单字节编码系统,并等同于国际标准ISO/IEC646。28、在下列存储器中,半导体存储器可以作为主存储器. 30、在CPU中跟踪指令后继地址得寄存器就是PC。 31、EPROM就是指光擦除可编程得只读存储器。

相关文档
相关文档 最新文档