文档库 最新最全的文档下载
当前位置:文档库 › 《数字电路》练习题

《数字电路》练习题

《数字电路》练习题
《数字电路》练习题

《数字电路》练习题

一、填空题

1.数字电路按照是否有记忆功能通常可分为两类:组合逻辑电路、时序逻辑电

路。

2.逻辑函数有四种表示方法,它们分别是真值表、逻辑表达式、逻辑图和卡诺图。

3.时序逻辑电路在某一时刻的输出状态不仅取决于当时的输入信号,还与电路原来的

状态有关。

4.三态门的三种状态是指输出的低电平状态、高电平状态、高阻状态。

5.(10110010.1011)2=(262.54 )8=(B2.B )16。

6.,Y= A 。

7.根据__反演_____律可得AB=A+B。

8.数据选择器和数据分配器的功能正好相反,互为逆过程。

9.JK触发器的输入J=K 时就转换为T触发器。

10.根据逻辑代数中的代入规则,在任何逻辑等式两边所有出现某一变量的地方都代之以__

一个逻辑函数,等式仍然成立。

11.优先编码器具有对优先级高的信号进行优先编码的特性。

12.基本逻辑运算有__与__、或、非3种。

13.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫真值表。

14.函数Y=AB+AC有3个输入变量,则Y的最小项表达式为________。

15.能够将1个输入数据,根据需要传送到m个输出端的任何一个输出端的电路叫_数据分配

器___。

16.对于T触发器,当T=__0___时,触发器处于保持状态。

17.(48.5)10=(_1001000.0101__)8421BCD。

18.OC门称为集电极开路门,多个OC门输出端并联到一起可实现线与功能。

19.对共阳接法的发光二极管数码显示器,应采用___低____电平驱动的七段显示译码器。

20.同步时序电路具有同一个时钟CP控制。

21.N个触发器可以构成能寄存___N____位二进制数码的寄存器。

22.JK触发器的特性方程为:。

23.组合逻辑电路的输出仅仅只与该时刻的输入有关,而与电路原先状态无关。

24.一个四选一数据选择器,其地址选择信号有2 个。

25.将2014个“1”异或起来得到的结果是0 。

二、单项选择题

1.为实现将JK触发器转换为D触发器,应使(A )

A.J=D,K=D B.K=D,J=D

C.J=K=D D.J=K=D

2.逻辑代数式:A·A+A·A=( A )。

A.A B.2A C.A2D.2 A2

3.若F=A AB

+=1,则可以确定( D )。

A.A=0、B=0 B.A=0、B=1 C.A=1、B=0 D.A=1、B=1

4.JK触发器稳定工作时的稳定工作状态有( D )。

A.1个B.2个C.3个D.4个

5.当4变量逻辑函数的一个最小项AB CD的值为1时,则变量ABCD的取值是( B )。

A.0000 B.1010 C.0101 D.1111

6. 1.只有当决定一件事的几个条件全部具备时,这件事才会发生,这种逻辑关系为( A )。

A.与B.与非C.或D.或非

7.三极管作为开关时工作区域是( D )。

A.饱和区+放大区B.击穿区+截止区

C.放大区+击穿区D.饱和区+截止区

8.AB+A C+( D )=AB+A C。

A.A C B.B C C.AC D.BC

9.R-S型触发器不具有( B )功能。

A.保持B.翻转C.置1 D.置0

10.01

++=( D )。

A A A

A.0 B.1 C.A D.A

11.函数F(A,B,C)中,( C )符合逻辑相邻。

A.AB和A B B.ABC和AB

C.ABC和AB C D.ABC和A C

B

12.下列各组数中,是8进制的是( A )

A.27452 B.63957

C.47EF8 D.37481

13.逻辑函数F=AB+B C的反函数F=( A )

A.(A+B)(B+C)B.(A+B)(B+C)

C.A+B+C D.A B+B C

14.下列电路中,不属于组合逻辑电路的是( C )

A.译码器B.全加器

C.寄存器D.编码器

15.当TTL与非门的输入端悬空时相当于输入为( B )

A.逻辑0 B.逻辑1

C.不确定D.0.5V

16.同步时序电路和异步时序电路比较,其差异在于后者( B )

A.没有触发器B.没有统一的时钟脉冲控制C.没有稳定状态D.输出只与内部状态有关

三、简答题

1.什么叫做最小项?

2.什么叫相邻项?

3.请解释逻辑代数的代入规则是什么?

4.请解释逻辑代数的反演规则是什么?

5.请解释逻辑代数的对偶规则是什么?

6.请说明组合逻辑电路的分析步骤。

7.请说明组合逻辑电路的设计步骤。

8.请解释时序逻辑电路和组合逻辑电路的特点,并说明两者的区别。

9.请说明什么是数据分配器和数据选择器。

10.请说明什么是同步时序电路和异步时序电路。

《数字电路》期末模拟试题及答案

- 1 - 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1=;Y 2 = ;Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____ c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电路设计试题湖南大学版

10、时序电路按(输出与现态和输入的关系)可分为:Mealy型和Moore型 二、判断题(下列各题,你认为正确的,请在题末的括号内打“√”,错的打“×”,并更正。每小题2分,共10分) 1、如果逻辑函数表达式在一定条件下可变成X+X‘或者X X’的形式,则该函数表达式可能产生冒险。(√) 2、本质冒险产生的原因:经过激励逻辑和反馈通路的传播延迟的最小值大于通过“输入逻辑”的最大定时偏移。(√) 3、CMOS反向门比非反向门所用的晶体管要少。(√)

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。(×) 5、门电路的扇出是表示输出电压与输入电压之间的关系。(×) 门电路所具有的输入端的数目称为扇入。 扇出是指该门电路在不超过其最坏情况负载规格下能驱动的输出端个数。 三、简答题(每题5分,共10分) 1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。 2、采用CMOS晶体管实现的“与非门”和“或非门”,哪个速度快?为什么? 四、应用题(共70分) 1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分) 答:第三位出错,应该是1100110 2、用卡诺图化简下列函数:(5分) 3、旅客列车分为特快A,直快B和慢车C,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分) (1)列出真值表(5分) (2)写出最简的输出逻辑表达式(5分) 4、运用一个MSI器件实现余3码向8421BCD码的转换。(10分) 5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分) 注:此题中用的不熟74138。我们在做时要用两个74138级联。详见教材P274 6、分析下图所示的时钟同步状态机(状态Q1Q2=00 ~ 11使用状态名A ~ D)。(10分) 1)作出状态/输出表(5分)。 2)说明它是Mealy机还是Moore机(2分) 3)说明这个电路能对何种输入序列进行检测。(3分) 答案没有找到。同类型题7.12 7、作“0101”序列检测器的Mealy型状态表和Moore型状态表。凡收到输入序列为“0101”时,输出为1;并规定检测的“0101”序列不重叠。典型输入输出序列如下:(10分)

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数字电子技术基础期末考试试卷及答案

数字电子技术基础期末考试试卷及答案 Document serial number【KKGB-LBS98YT-BS8CB-BSUT-BST108】

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门

3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数C B C A C B A Y ++??=1(5分) 答案:C B A B A C C B C A C B A Y +)+?(=++??=1(1分)=C B A B C +)+((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知7400为四个2输入与非门,其20=OL I ,1=OH I ,2=IL I ,μA 50=IH I ,计算7400最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,(2分);IH H OH 2≥I N I ,(2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应、、D 的Q 端波形。(4分) 答案: 5. 8位数模转换器0832构成的电路如图1.2所示。(1)写出输出电压O v 的计算公式;(2)若输入数 AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图Q PR PR (a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -(3分) (2)=6.3-78 REF 2×2 V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2.73578 (2分) 二(10分)、 图2 (a )由集成3线-8线译码器74138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74138的功能表如图(b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74151的逻辑符号如图3所示,试用74151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 D m D m D m D m D m D m D m ?+?+?+?+?+?+?=7643100(3分) V O v 2 .1图 G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a) (b)A B Y 10000001111110000000C 11 1111 111Y 200 00 11 11001 输 入输 出

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

《数字电路》期末模拟试题及答案 3

1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于___ ___偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个输入 端,____输出端。 8. 下图所示电路中,Y 1 Y 3 =______。 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 A 1 A B 3

5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B . AB C Y = C .C AB Y += D .C C B Y += 化简下列逻辑函数,写出最简与或表达式: 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A + ++? 分析设计题: 1.双四选一数据选择器如图所示,其功能表达式如下。现要实现八选一数据选择器的功能(地址信号为 A 2A 1A 0,数据输入端信号为 D 7 ~ D 0 ) ,请画出电路连接图。 1A A A A D Y =(2D Y =( 2.TTL

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数B A B A Y ++??=1(5分) 答案:C B A A C C B C A C A Y +)+?(=++??=1(1分)=C B A C +) +((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知74LS00为四个2输入与非门,其20=OL I mA ,1=OH I mA ,2=IL I mA ,μA 50=IH I ,计算74LS00最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,10=≤ IL OL L I I N (2分);IH H OH 2≥I N I ,10=2≤IH OH H I I N (2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应CLK 、、D 的Q 端波形。(4分) 答案: AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图(a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -i i i D V 22 ∑ 7 8 REF (3分) (2)=6.3-7 8 REF 2×2V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2 .73578(2分) 二(10分)、 图2( a )由集成3线-8线译码器74HC138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74HC138的功能表如图( b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74HC151的逻辑符号如图3所示,试用74HC151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 V O v 2 .1图 Y G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a)(b)A B Y 10000001111110000000C 11 1111111Y 200 00 11 11001输 入 输 出

数字电路测试题2答案

数字电路测试题2答案 一、 填空 1、(110110)2 = ( 54 )10 = ( 66 )8 = ( 01010100 )8421BCD 。 2、逻辑代数有 与 、 或 、 非 三种基本运算。 3、逻辑函数有 真值表 、 逻辑表达式 、 逻辑图 、 波形图 、 卡诺图 五种表示方法。 4、逻辑函数D C B B A F ??+=的反函数F =(A+B )(B +C+D),对偶函数 F '=(A +B)(B+D C +) 5、用卡若图化简函数,包围圈内相邻项的个数应为n 2。 6、C B AC C B A F ++=的最小项之和式F=A B C +A B C+ABC+A B C 7、常用集成芯片74LS00、74LS76、74LS151的名称分别是:四二输入与非门、双JK 触发器 、 八选一数据选择器。 8、如图1—1所示 : 图1—1 F 1= AB+BC 、 F 2= 1 、 F 3=A+B 。 9、如图1—2所示,电路的输出: 1)、当 C=0时, F = A+B 2)、当 C=1时, F = 高阻态 F 图1—2 10、JK 触法器是一种功能齐全的触发器,它具有 保持 、 置0 、 置1 、 翻 砖

的逻辑功能。 11、只具有 置0 和 置1 功能的触发器是D 触发器。 12、设计一个同步6进制计数器,需要 3 个触发器。 13、如图1—3所示,Q n+1=n Q 14、如图1—4所示:同步四位二进制计数器74LS161构成的是 十三 进制计数器。 15、施密特触发器 有两个稳定状态,有两个不同的触发电平,具有回差特性。多谐振荡器没有稳定状态,只有两个暂稳态,利用 电容的充电和放电 作用使电路能够产生自激振荡从而在输出端输出矩形脉冲。 J K J CP K Q CP CO LD CR Q 3 Q 2 Q 1 Q 0 0 0 0 1 CT T CT P CP & 1 1 74LS161 D 3 D 2 D 1 D 0 图1—3 图1—4 图1—5 16、如图1—5所示:由555定时器和电阻R 1、R 2及电容C 构成的电路是 多谐振荡器电路 。 17、A/D 转换是将 模拟信号转换为数字信号 的转换过程,通过 采样 、 保持 、 量化 、 编码 等四个步骤完成。 二、 将下列函数化简成最简与或式 (1)()C B BC BC A ABC A Y D C B A ++++=、、、 (用公式法化简) 解: Y=A+ABC+A BC +BC+B C =A(1+BC+BC )+C(B+B )=A+C (2)()C B A ABC C B A Y D C B A ++⊕=)(、、、 (用公式法化简) 解: Y=(A ⊕B)C+ABC+A B C = A BC+A B C+ABC+A B C =A C(B+B )+AC((B+B )=C

数字电路实验

数字电路实验 实验要求: 1. 遵守实验室规则,注意人身和仪器设备的安全。 2. 预习并按规范写好预习报告,否则不能参加实验。 3. 进入实验室后保持安静,对号入座, 4. 将预习报告置于实验桌右上角,待指导教师检查。 5. 完成实验任务后,保持实验现场,报请老师验收。验收时需清楚简练地向老师介绍实验情况、证明自己已完成了实验任务。 6.实验成绩由预习报告、实验效果与实验纪律、独立动手能力、实验报告等综合决定。 实验报告内容要求 1. 实验名称、实验者姓名、实验时间地点和指导教师等。 2. 实验目的与要求。 3. 实验用仪器仪表的名称和型号。 4. 实验电路和测试电路。包括实验所用的器件品种、数目和参数。 5. 实验内容、步骤,在这部分内容中,应用简明的语言或提纲给出实验的具体内容,步骤、记录实验中的原始数据,绘制出根据观察到的波形整理出的图表、曲线,反映在实验中遇到的问题及处理的经过。如对原实验方案进行了调整,则应写出调整方案的理由和调整情况。 6. 实验结果及分析。实验结果是对实验所得的原始数据进行分析计算后得出的结论。可以用数值或曲线表达,实验结果应满足实验任务的要求。 7. 实验小结。总结实验完成的情况,对实验方案和实验结果进行讨论,对实验中遇到的问题进行分析,简单叙述实验的收获、体会等。 8. 参考资料。记录实验进行前、后阅读的有关资料,为今后查阅提供方便。

实验一TTL与非门参数测试及使用 一、实验目的 1、学习TTL和CMOS门电路的逻辑功能测试方法,加深认识TTL与CMOS门电路的 电平差异。 2、通过测试TTL与非门的电压传输特性,进一步理解门电路的重要参数及其意义(包 括U OL、U OH、U ON、U OFF、U TH、U NL、U NH)。 3、了解一般的集成门电路器件的常用封装形式和引脚排列规律,掌握使用方法。 4、熟悉数字实验箱的结构和使用方法。 二、预习要求 1、TTL与CMOS门电路的逻辑功能及闲置输入端的处置方法。 2、电压传输特性曲线及其所表征的主要参数的意义。 3、设计实验数据纪录表格 三、实验内容 1、测试TTL与非门74LS00和CM0S或非门CC4001逻辑功能。 (1)识别72LS00和CC4001的封装及引脚排列。 (2)正确连接测试电路,特别注意直流工作电压的大小和极性。 (3)测试它们的真值表,要求纪录输入高低电平(U IL、U IH)和输出高低电平(U OL、U OH)。 (4)实验TTL和CMOS门电路的输入端悬空对门电路输出的影响。 2、测试TTL与非门电压传输特性。 (1)正确连接测试电路,特别注意实心电位器的连接,连接错误易损坏电位器。 (2)注意在特性曲线的转折处应适当增加测量点。 (3)正确读取数据并纪录。 四、实验报告 1、书写格式要规范,书写认真、字迹清晰。 2、实验报告内容要齐全 3、测试的原始数据要真实,不能随意修改原始数据。 4、绘制TTL门的传输特性曲线,并根据曲线标出U ON、U OFF、U TH及U NL、U NH。 5、实验结果分析与小结 实验二组合逻辑电路设计 一、实验目的 1、学习用小规模集成电路设计组合逻辑电路的方法,进一步掌握组合逻辑电路的 分析和设计方法。 2、学习用中规模集成电路实现组合逻辑函数的方法 3、学习数字电路实验中查找电路故障的一般方法。 二、预习要求 1、组合逻辑电路分析、设计的一般方法。 2、用译码器和数据选择器实现组合逻辑函数的方法。 3、画出用译码器74LS138实现半加器的电路图。 三、实验内容 1、用与非门实现半加器。

数字电路的期末试题及标准答案

数字电路的期末试题 一、客观题:请选择正确答案,将其代号填入()内;(本大题共10小题,每空2分,共20分) ⒈当某种门的输入全部为高电平,而使输出也为高电平者,则这种门将是: A.与非门及或非门; B.与门及或门; C.或门及异或门; D.与门及或非门.( B ) ⒉在如下所列4种门电路中,与图示非门相等效的电路是:( B ) ⒊已知,则函数F和H的关系,应是:( B ) A.恒等; B.反演; C.对偶; D.不确定. ⒋若两个逻辑函数恒等,则它们必然具有唯一的:(A) A.真值表; B.逻辑表达式; C.电路图; D.逻辑图形符号. ⒌一逻辑函数的最小项之和的标准形式,它的特点是:(C) A.项数最少; B.每个乘积项的变量数最少; C.每个乘积项中,每种变量或其反变量只出现一次; D.每个乘积项相应的数值最小,故名最小项. ⒍双向数据总线可以采用( B )构成。 A.译码器; B.三态门; C.与非门; D.多路选择器. ⒎在下列逻辑部件中,不属于组合逻辑部件的是( D )。 A.译码器; B.编码器; C.全加器; D.寄存器. ⒏八路数据选择器,其地址输入端(选择控制端)有( C )个。

A.8个 B.2个 C.3个 D.4个 ⒐为将D触发器转换为T触发器,如图所示电路的虚线框内应是( D )。 A.或非门 B.与非门 C.异或门 D.同或门 ⒑为产生周期性矩形波,应当选用( C )。 A.施密特触发器 B.单稳态触发器C.多谐振荡器 D.译码器 二、化简下列逻辑函数(每小题5分,共10分) ⒈用公式法化简逻辑函数: ⒉用卡诺图法化简逻辑函数:Y(A,B,C,D)=∑m(2 ,3,7,8,11,14) 给定约束条件为m0+m5+ m10+m15=0 三、非客观题(本题两小题,共20分) ⒈如图所示为三输入变量的或门和与门的逻辑图。根据两种不同的输入波形(见图b),画出Y1、Y2的波形。(本题共8分,每个Y1、Y2各 2分) 解

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

数字电路期末试卷及答案A

系名____________班级____________姓名____________学号____________ 密封线内不答题 2011 —— 2012 学年第 2 学期 课程名称: 数字电子技术基础 使用班级:11级电子、通信、控制本科 一、 填空、单选题(在括号内填入所选序号)(每小题2分、共20分) 1、5F.8 16 =(___________) 10 =(___________) BCD 8421 2、一个四位二进制递减计数器的初态为1110,经过三个计数脉冲后,该计数器的状态 为_________。 3、要用1K ×4的RAM 构成存储容量为4K ?16位的存储器,需要用 _________片进行扩展。 4、A/D 转换器用以将输入的_________转换成相应_________输出的电路。 5、偏离状态能在计数脉冲作用下自动转入有效状态的特性,称为__________特性。 6、如果F (A,B,C )=∑)7,5,4,2,0(m ,那么F (A,B,C)=M ∏( ) 。 A. 0,2,4,5,7 B . 1,3,6 C . 0,2,3,5,7 D . 1,4,6 7、已知D/A 转换器的最小输出电压为10mv ,最大输出电压为2.5v ,则应选用( )位的D/A 转换器。 A . 7 B . 8 C. 9 D . 10 8、存储容量为256×8的RAM 有( )根地址输入线。 A . 8 B. 256 C . 10 D . 11 9、TTL 电路中三极管作为开关时工作区域是( )。 A. 饱和区+放大区 B. 饱和区+截止区 C. 放大区+击穿区 D. 击穿区+截止区 10、4位输入的二进制译码器,其输出端有( )位。 A. 16 B. 8 C. 4 D. 2 二、逻辑函数简化及变换 (共15分) 1、用公式法将下面的逻辑函数式化简为最简与—或表达式。(6分)

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电路实验报告3

数字电路实验报告3 暨南大学本科实验报告专用纸 课程名称数字逻辑电路实验成绩评定实验项目名称三态门特性研究和典型应用指导教师实验项目编号 0806003803实验项目类型验证型实验地点 B406 学生姓名学号 学院电气信息学院系专业电子信息科学与技术实验时间 2013 年5 月27日上午~月日午温度℃湿度 三态门特性研究和典型应用 一、实验目的 1.学习应用实验的方法分析组合逻辑电路功能。 2.熟悉三态门逻辑特性和使用方法。 3.掌握三态门的典型应用,熟悉三态门输出控制和构成总线的应用。 4.学习数字系统综合实验平台可编辑数字波形发生器使用方法。 二、实验器件、仪器和设备 1. 4双输入与非门74LS00 1片 2. 4三态输出缓冲器74LS125 1片 3. 4异或门74LS86 1片 4. 数字万用表UT56 1台 5. TDS-4数字系统综合实验平台 1台 6. PC机(数字信号显示仪) 1台 7. GOS-6051示波器 1台 芯片引脚图 三、实验步骤和测试分析 1、三态门逻辑特性测试(用表格记录测试数据) ①74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。 测试电路图及数据表格如下页所示。 ②74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接高电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。

清华大学数字电路汇总题库

清华大学数字电路题库 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ)

B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A +

相关文档
相关文档 最新文档