文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术实验教(学)案

数字电子技术实验教(学)案

湖南工学院教案用纸p.1 实验1 基本门电路逻辑功能测试(验证性实验)

一、实验目的

1.熟悉基本门电路图形符号与功能;

2.掌握门电路的使用与功能测试方法;

3.熟悉实验室数字电路实验设备的结构、功能与使用。

二、实验设备与器材

双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00,74LS20,74LS86,导线三、实验电路与说明

门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成度高,抗干扰能力强。

1. 74LS00—四2输入与非门功能与引脚:

2. 74LS20—双4输入与非门功能与引脚:

3. 74LS86—四2输入异或门功能与引脚:

四、实验内容与步骤

1. 74LS00功能测试:

①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔动开关进行测试,结果记入自拟表格。

2. 74LS20功能测试:

实验过程与74LS00功能测试类似。

3. 74LS86功能测试:

实验过程与74LS00功能测试类似。

4. 用74LS00构成半加器并测试其功能:

①根据半加器功能:S A B

=,用74LS00设计一个半加器电路;

=⊕,C AB

②根据所设计电路进行实验接线;

③电路输入接逻辑电平开关,输出接LED显示器;

④通电源测试半加器功能,结果记入自拟表格。

5. 用74LS86和74LS00构成半加器并测试其功能:

实验过程与以上半加器功能测试类似。

五、实验报告要求

1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。

2.在报告中回答以下思考题:

①如何判断逻辑门电路功能是否正常?

②如何处理与非门的多余输入端?

实验2 组合逻辑电路的设计与调试(设计性综合实验)

一、实验目的

1.熟悉编码器、译码器、数据选择器等MSI 的功能与使用;

2.进一步掌握组合电路的设计与测试方法;

3.学会用MSI 实现简单逻辑函数。 二、实验设备与器材

双列直插集成电路插座,逻辑电平开关,LED 数码显示器,74LS148,74LS151,74LS48,74LS138,74LS283,74LS04,多用表,导线 三、实验内容与设计要求

1.按教材P.180 Fig.6.6 电路接线,验证8-3优先编码器74LS148和显示译码器74LS48的逻辑功能,记录实验数据,表格自拟;

2.用数据选择器74LS151(或者74LS138+74LS04)设计一个红、黄、绿三色信号灯状态监测逻辑电路,并对所设计电路的功能进行测试。要求:任何时刻信号灯只能亮红、黄、绿三种颜色中的任意一种颜色灯;其它状态都属于故障状态。

3.用一片四位加法器74LS283实现8421BCD 码到余3码的转换,并测试电路功能。 四、设计方法与设计提示

1. 组合电路设计的一般步骤:参见教材P.180 Fig.6.5

①根据电路功能描述,分析因果关系,确定输入、输出变量,并对之进行逻辑赋值; ②应用穷举法列出真值表,并写出逻辑表达式;

③根据具体电路要求及特定器件资源,选择确定器件;

④利用公式或卡诺图化简函数,并将之转换成与所选用器件功能相适应的形式; ⑤画出所设计的逻辑电路图,并进行后续的工艺设计与组装调试。 2. 典型组合电路MSI 功能与引脚: ①8-3优先编码器74LS148;②显示译码器74LS48;③8选1数据选择器74LS151;④3-8译码器74LS138;⑤四位加法器74LS283。 3. 设计提示:

①数据选择器实现逻辑函数: 如:用8选1数据选择器74LS151实现函数(A,B,C)(0,2,5,6,7)f m =∑。因74LS151输出

7

0Y D i i i m ==∑,0022556677(A,B,C)D D D D D f m m m m m =++++,故74LS151的接线方法为: 012A C,A B,A A →→→,02567D D D D D 1=====,134D D D 0===。

②3-8译码器74LS138实现逻辑函数与数据分配:

74LS138的输出Y i i m =,其中i m 是由地址码210A A A 组成的最小项。由于任意函数总可以写成最小项之和形式即Y i i m =∑,因而如果将函数变量作为译码器的地址码或译码控制信号,则根据反演定理并结合与非门即可完成逻辑函数的译码器实现。译码器实现逻辑函数与数据分配的具体方法参见教材P.183 Fig.6.10。 ③8421BCD 码到余3码的转换:

余3码=8421BCD+0011,故用加法器可容易实现8421BCD 码到余3码的转换。 五、预习要求及实验注意事项 1.预习要求:

①查阅并熟悉相关MSI 的引脚及功能;

②按设计要求设计好实验所用电路,画出实验电路图。

2.实验注意事项:

①接插芯片时,注意认清定位标志;

②实验前注意确定MSI芯片功能正常;

③不允许MSI芯片输出端直接接地或电源,须在断电状态进行拆线或电路更改。

六、实验报告要求

1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、问题分析与处理、实验总结与体会等。

2.在报告中回答以下问题:

①组合电路的一般设计步骤?

②组合电路的设计体会?

实验3 集成触发器功能测试(验证性实验)

一、实验目的

1.熟悉集成JK和D触发器的功能与使用;

2.熟悉触发器的功能测试方法。

二、实验设备与器材

双列直插集成电路插座,逻辑电平开关,示波器,74LS112集成JK触发器,74LS74集成D 触发器,多用表,导线

三、实验电路与说明

触发器是时序逻辑电路构成的基本单元,具有两个稳态,并且触发器状态能在外部输入信号作用下进行翻转。触发器种类繁多,按电路结构,可分为同步触发器、主从触发器和边沿触发器,按逻辑功能,可分为RS触发器、JK触发器、D触发器、T触发器等。一般而言,集成触发器除了触发信号输入端外,还拥有直接置零、置1输入端。熟悉并掌握各种触发器特性方程、状态转换、动作特点,是应用触发器的重要基础。

1. 74LS112—集成JK触发器的功能与引脚:

2. 74LS74—集成DK触发器的功能与引脚:

四、实验内容与步骤

1. 74LS112功能测试:

①按教材P.186 表6.11改变S d和R d,观察并记录触发器状态的变化;

②按教材P.186 表6.12,对触发器逻辑功能进行测试;

③使J K1

==(计数状态),在CP端输入100kHz

f=方波,观察并记录Q、Q端工作波形。

2. 74LS74功能测试:

①按教材P.187 表6.13测试并记录74LS74触发器的逻辑功能;

②连接D Q

=(计数状态),在CP端输入100kHz

f=方波,观察并记录Q、Q端工作波形。

五、实验报告要求

1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。

2.在报告中回答以下思考题:

①S d和R d的作用是什么?如何利用它们实现触发器的置零或置1?触发器正常工作时,它们应处于什么状态?

②当触发器处于计数状态时,Q端状态在CP的什么时刻变化?Q端波形与CP波形在周期上有什么关系?

实验4 移位寄存器(设计性实验)

一、实验目的

1.掌握集成移位寄存器的功能及其测试方法;

2.研究由移位寄存构成的环形计数器和串行累加器工作原理。

二、实验设备与器材

双列直插集成电路插座,逻辑电平开关,集成移位寄存器74LS194,集成D触发器74LS74,全加器74LS183,示波器,多用表,导线

三、实验内容与步骤

1.集成移位寄存器74LS194逻辑功能测试:

实验电路参见教材P.195 Fig. 6.25。CR、1S、0S、L S、R S、A

D接逻辑

D、D

D、C

D、B

电平开关,A

Q接LED显示器,从CP输入单次脉冲,按教材P.196 表 6.23

Q、D

Q、C

Q、B

逐项测试并记录测试结果:

①清除功能;②送数功能测试;③右移功能测试;④左移功能测试;⑤保持功能测试。

2.循环移位电路设计并测试其循环移位功能:

用74LS194设计一循环右移寄存器,然后测试其在CP脉冲作用下的数据循环右移功能,并记录测试结果。

3.串行累加器设计及其功能测试:

根据教材P.195 Fig. 6.24所示串行累加器结构与工作原理,用74LS194和74LS183设计一四位串行累加器,然后在CP脉冲作用下测试电路的串行累加功能,结果记入自拟表格。

四、实验说明

1. 74LS194引脚及功能:

2.循环移位寄存器结构与工作原理:

3.吕行累加器构成方法与工作原理:

五、实验报告要求

1.报告内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、问题分析与处理、实验总结与体会等。

2.总结74LS194逻辑功能,画出环形计数器状态转换图与波形图;

3.分析串行累加器实验结果的正确性;

4. 在报告中回答以下问题:

①要使移位寄存器清零,可否采用右移或左移功能实现可否采用并行送数法实现?如果可

以,又如何操作?

②如要求循环左移,如何更改循环右移电路的连接?

实验5 集成计数器设计(设计性实验)

一、实验目的

1.掌握用集成计数器构成任意进制计数器的方法;

2.掌握MSI 计数器使用与功能测试方法;

3.基于MSI 计数器的任意进制计数器设计。 二、实验设备与器材

双列直插集成电路插座,逻辑电平开关,LED 发光显示器,集成十进制计数器74LS192,四2输入与非门74LS00,连续脉冲源,单次脉冲源,导线

三、实验内容与设计要求

1. 自拟74LS192逻辑功能测试电路,并进行测试与记录;

2. 采用反馈归零或反馈置数法,用一片74LS192和74LS00构成一个8进制计数器,实验方法与步骤自拟;

3. 采用级联的方法,用两片74LS192和74LS00构成一个24进制计数器,实验方法与步骤自拟。

四、设计提示

1.基于MSI 集成N 计数器的任意M 进制计数器的构成方法:

①M

②M>N :此时需要采用多片集成N 计数器通过级联和整体的清零或置数才能构成一个M 进制计数器。整体的清零或置数信号在整个计数器的哪个状态产生,类似于M

2. 74LS192引脚功能:双时钟集成十进制同步可逆计数器

0 1 2 3CR CP CP

五、实验报告要求

1.报告内容除实验名称、目的要求外,还必须画出设计电路,拟定实验步骤,并对实验记录数据进行整理,和实验结果分析,表达实验总结与体会等。

2.说明任意进制计数器的构成方法;

3.讨论实验中遇到的问题;

4.在报告中回答:

②如何实现秒、分时钟计数?

电子技术基础实验指导书

《电子技术基础》实验指导书 电子技术课组编 信息与通信工程学院

实验一常用电子仪器的使用 一、实验类型-操作型 二、实验目的 1、学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的主要技术指标、性能及正确使用方法。 2、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 三、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1-1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

图1-1 模拟电子电路中常用电子仪器布局图 1、示波器 示波器是一种用途很广的电子测量仪器,它既能直接显示电信号的波形,又能对电信号进行各种参数的测量。现着重指出下列几点: 1)、寻找扫描光迹 将示波器Y轴显示方式置“Y1”或“Y2”,输入耦合方式置“GND”,开机预热后,若在显示屏上不出现光点和扫描基线,可按下列操作去找到扫描线:①适当调节亮度旋钮。②触发方式开关置“自动”。③适当调节垂直()、水平()“位移”旋钮,使扫描光迹位于屏幕中央。(若示波器设有“寻迹”按键,可按下“寻迹”按键,判断光迹偏移基线的方向。) 2)、双踪示波器一般有五种显示方式,即“Y1”、“Y2”、“Y1+Y2”三种单踪显示方式和“交替”“断续”二种双踪显示方式。“交替”显示一般适宜于输入信号频率较高时使用。“断续”显示一般适宜于输入信号频率较低时使用。 3)、为了显示稳定的被测信号波形,“触发源选择”开关一般选为“内”触发,使扫描触发信号取自示波器内部的Y通道。 4)、触发方式开关通常先置于“自动”调出波形后,若被显示的波形不稳定,可置触发方式开关于“常态”,通过调节“触发电平”旋钮找到合适的触发电压,使被测试的波形稳定地显示在示波器屏幕上。 有时,由于选择了较慢的扫描速率,显示屏上将会出现闪烁的光迹,但被

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电子技术实验指导书

实验一常用电子仪器的使用方法 一、实验目的 了解示波器、音频信号发生器、交流数字毫伏表、直流稳压电源、数字万用电表的使用方法。二实验学时 2 学时 三、实验仪器及实验设备 1、GOS-620 系列示波器 2、YDS996A函数信号发生器 3、数字交流毫伏表 4、直流稳压电源 5、数字万用电表 四、实验仪器简介 1、示波器 阴极射线示波器(简称示波器)是利用阴极射线示波管将电信号转换成肉眼能直接观察的随时间变化的图像的电子仪器。示波器通常由垂直系统、水平系统和示波管电路等部分组成。垂直系统将被测信号放大后送到示波管的垂直偏转板,使光点在垂直方向上随被测信号的幅度变化而移动;水平系统用作产生时基信号的锯齿波,经水平放大器放大后送至示波管水平偏转板,使光点沿水平方向匀速移动。这样就能在示波管上显示被测信号的波形。 2、YDS996A函数信号发生器通常也叫信号发生器。它通常是指频率从0.6Hz至1MHz的正弦波、方波、三角波、脉冲波、锯齿波,具有直流电平调节、占空比调节,其频率可以数字直接显示。适用于音频、机械、化工、电工、电子、医学、土木建筑等各个领域的科研单位、工厂、学校、实验室等。 3、交流数字毫伏表 该表适用于测量正弦波电压的有效值。它的电路结构一般包括放大器、衰减器(分压器)、检波器、指示器(表头)及电源等几个部分。该表的优点是输入阻抗高、量程广、频率范围宽、过载能力强等。该表可用来对无线电接收机、放大器和其它电子设备的电路进行测量。 4、直流稳压电源: 它是一种通用电源设备。它为各种电子设备提供所需要的稳定的直流电压或电流当电网电压、负载、环境等在一定范围内变化时,稳压电源输出的电压或电流维持相对稳定。这样可以使电子设备或电路的性能稳定不变。直流电源通常由变压、整流、滤波、调整控制四部分组成。有些电源还具有过压、过流等保护电路,以防止工作失常时损坏器件。 6、计频器 GFC-8010H是一台高输入灵敏度20mVrms,测量范围0.1Hz至120MHz的综合计频器,具备简洁、高性能、高分辨率和高稳定性的特点。 5、仪器与实验电路的相互关系及主要用途:

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

《模拟电子技术实验》实验指导书

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

电子技术实验指导..

电子技术实验指导 电子技术实验,实验仪器与被测电路的基本连接方法,如图1所示。 实验1 共发射极单级放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图1-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路由B1R 和B2R 分压电路组成,发射极接有电阻E R ,以稳定放大器的静态工作点。当放大器的输入端加入输入信号i u 后,在放大器的输出端便可得到一个与i u 相位相反、幅值被放大了的输出信号o u ,从而实现电压放大。 图1 测量模拟电子电路常用电子仪器的接法

在图1-1电路中,当流过偏置电阻B1R 和B2R 的电流远大于晶体管T 的基极电流B I 时(一般大5~10倍),它的静态工作点可用下式估算。 2 12 B B C C B B R U U R R ≈+, B B E C E U U I R -≈, C B I I β=,)(E C C CC CE R R I U U +-= 放大器的动态参数,电压放大倍数为 1 )1(//E be L C V R r R R A ββ ++-= 输入电阻为 121//[(1)]i B B be E R R R r R β=//++ 输出电阻为 C o R R ≈ 由于电子器件性能的分散性比较大,因此在设计和制作晶体管放大电路时,离不开测量和调试技术。在设计前应测量所有元器件的参数,为电路设计提供必要的依据,在完成设计和配装以后,还必须测量和调试放大器的静态工作点和各项性能指标。一个优质的放大器,必须是理论设计与实验调整相结合的产物。因此,除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量与调试技术。 放大器的测量和调试包括:放大器静态工作点的测量与调试和放大器动态参数的测量与调试等。 1、放大器静态工作点的测量与调试 (1)静态工作点的测量:测量放大器的静态工作点,应在输入信号0=i u 的情况下进行。将放大器输入端与地端短接,用直流电压表分别测量晶体管各电极对地的电位B U 、C U 和E U 。然后算出 C I ≈E I =E U /E R ;BE U =B U —E U ,CE U =C U —E U 。为了减少误差,提高测量精度,应选用内阻 较高的直流电压表。 (2)静态工作点的调试:是指对管子集电流C I (或CE U )的调整与测试。 静态工作点是否合适,对放大器的性能和输出波形都有很大影响。以NPN 型三极管为例,如果工作点偏高,放大器易产生饱和失真,此时o u 的负半周被缩底,如图1-2a 所示。如果工作点偏低则易产生截止失真,即o u 的正半周被缩顶,如图1-2b 所示。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端加入一定的i u ,检查输出电压o u 的大小和波形是否满足要求。如果不满足,则应调节静态工作点。 改变电路参数CC U 、C R 、B R (1B R 、2B R )都会引起静态工作点的变化,通常采用调节偏置电阻2B R 的方法来改变静态工作点,如减小2B R ,可使静态工作点提高。 最后还要说明的是:工作点“偏高”或“偏低”不是 绝对的,是相对信号的幅度而言,如果信号幅度很小,即使工作点较高或较低也不一定会出现失真。所以确切的说,产生波形失真是信号幅度与静态工作点设置配合不当所致。如需满足较大信号幅度的要求,静态工作点最好靠近交流负载的中点。 (a)截止失真 (b)饱和失真 图1-2 静态工作点对o u 的影响

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

电子技术实验指导书

电子技术实验指导书机电工程学院电气工程系2012年2月 实验一电子仪器使用及常用元件的识别与测试 一、实验目的 1.掌握常用电子仪器的基本功能并学习其正确使用方法。 2.学习掌握用双踪示波器观察和测量波形的幅值、频率及相位的方法。 3.掌握常用元器件的识别与简单测试方法。 二、仪器设备1.万用表 2.信号发生器3.晶体管毫伏表 4.示波器 三、实验内容 1.用万用表测量电压、电流、电阻等元器件,并判断二极管和三极管的好坏。 2.用信号发生器调出不同大小的正弦波,并用晶体管毫伏表测量。 3.用信号发生器调出不同波形,用示波器进行观察测量。 四、实验步骤 1. 将万用表旋钮调至相应的功能和适当的挡位,分别测量试验台上给出的电源电压、单独给出的电阻等元器件,特别注意安全以及万用表的相应挡位。 2. 用万用表判断二极管的好坏和极性。将万用表放在×10的电阻挡,测量一个二极管的电阻并记录下来,然后交换万用表的两只表笔,再次测量它的电阻并记录下来,根据两次测量的结果判断二极管的好坏。如果两次测得的电阻值都很大,说明二极管内部已经断路,如果两次测得的电阻值都很小,说明二极管内部已经短路,只有两次测得的电阻值相差很大,才说明二极管是好的。如果是指针型万用表,测得电阻比较小的那次二极管是正向导通的,通常此时黑色表笔所接的是二极管的正极,其他万用表要先确定其测量电阻时内部电源的极性。 3. 用万用表判断三极管的好坏。三极管可以等效为两个串接的二极管,见下图a。先按测量二极管的方法确定两个PN结的好坏,如果是好的则可进一步确定三极管的基极,由此也可确定三极管的类型(PNP、NPN)。指针式万用表判断三极管的发射极和集电极是利用了三极管的电流放大特性,测试原理见图b,如被测三极管是NPN型管,先设一个极为集电极,与万用表的黑表笔相连接,用红表笔接另一个电极,观察好指针的偏转大小。然后用人体电阻代替图b中的R B,用手指捏住C和B极,C和B不要碰在一起,再观察指针的偏转大小,若此时偏转角度比第一次大,说明假设正确。若区别不大,需再重新假设。PNP型管的判别方法与NPN型管相同但极性相反。 4. 打开信号发生器,熟悉各旋钮的作用,然后调出一个1~10V,10多千赫兹的正弦交流电,分别用万用表

15电力电子实验指导书

《电力电子技术》 实 验 指 导 书

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 二、实验所需挂件及附件 三、实验线路及原理 锯齿波同步移相触发电路的原理图参见挂件说明。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见挂件说明和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读电力电子技术教材中有关锯齿波同步移相触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为

220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽 度,并比较“3”点电压U 3和“6”点电压U 6 的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct 调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压 信号和“6”点U 6的波形,调节偏移电压U b (即调RP3电位器),使α=170°,其波 形如图2-1所示。 图2-1锯齿波同步移相触发电路 (3)调节U ct (即电位器RP2)使α=60°,观察并记录U 1 ~U 6 及输出“G、K” 脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波器的“V/DIV”和“t/DIV”微调旋钮旋到校准位置)。 (4)

《电子技术实验1》实验指导书

实验一仪器使用 一、实验目的 1.明确函数信号发生器、直流稳压稳流电源和交流电压表的用途。 2.明确上述仪器面板上各旋钮的作用,学会正确的使用方法。 3.学习用示波器观察交流信号波形和测量电压、周期的方法。 二、实验仪器 8112C函数信号发生器一台 DF1731SC2A可调式直流稳压稳流电源一台 DF2170B交流电压表一台 双踪示波器一台 三、实验内容 1.调节8112C函数信号发生器输出1KHZ、100mV的正弦波信号,将操

2.将信号发生器输出的信号接入交流电压表测量,配合调节函数信号发生器的“MAPLITUDE POWER”旋钮,使其输出为100mV。 3.将上述信号接入双踪示波器测量其信号电压的峰峰值和周期值,并将操作方法填入下表。

四、实验总结 1、整理实验记录、分析实验结果及存在问题等。 五、预习要求 1.对照附录的示意图和说明,熟悉仪器各旋钮的作用。 2.写出下列预习思考题答案: (1)当用示波器进行定量测量时,时基扫描微调旋钮和垂直微调旋钮应处在什么位置?

(2)某一正弦波,其峰峰值在示波器屏幕上占垂直刻度为5格,一个周期占水平刻度为2格,垂直灵敏度选择旋钮置0.2V/div档,时基扫速选择旋钮置0.1mS/div档,探头衰减用×1,问被测信号的有效值和频率为多少?如何用器其他仪器进行验证?

附录一:8112C函数信号发生器 1.用途 (1)输出基本信号为正弦波、方波、三角波、脉冲波、锯齿波。输出幅值从5mv~20v,频率范围从0.1HZ~2MHZ。 (2)作为频率计数器使用,测频范围从10HZ~50MHZ,最大允许输入为30Vrms。 2.面板说明

数字电子技术实验指导书 新

数字电子技术基础 实验指导书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 北京印刷学院 1

北京印刷学院 信息与机电工程学院 信息工程系 《数字电子技术基础实验》 指 导 书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 电路教研室编 2

3 实验一 示波器的实验研究 一、实验目的与要求 1.掌握COS5020型或V —212E 型双踪示波器的使用方法 2.掌握用示波器测量脉冲波形主要参数的方法 3.熟悉TPE —D6数字电路学习机的使用 二、实验设备与器材 1.双踪示波器 2.数字电路学习机 三、实验内容与步骤 1.双线显示示波器内的CAL 信号 通过检验该信号的周期与幅度,熟悉示波器各旋钮的作用,并测量该信号的周期与幅度。 =CAL V =C A L T 2.示波器测量 用示波器测量数字电路学习机中CP 脉冲的周期(开关放在可调连续脉冲Ⅰ、Ⅱ位置,电位器顺逆时针旋转到底位置),以及该脉冲的逻辑高电平。 =I ax m V =I min T = ax m V = min T 3.观察与测量RC 网络对矩形波信号的响应 本实验所用的电路形式如图1-1所示。 图1-1 RC 实验电路 v I 为输入方波信号,其周期为T =0.1ms 。 (1)RC 微分电路 实验电路中的Z 1和Z 2分别是电容C 和电阻R ,元件参数按表1-1选取,观察与测量输出信号v O 的波形,并测量其脉冲宽度。 (2)RC 积分电路 实验电路中的Z 1和Z 2分别是电阻R 和电容C ,元件参数按表1-2选取,观察与测量输出信号v O 的波形,并测量其脉冲上升时间。 四、预习要求

模拟电子技术实验指导

实验二常用电子仪器的使用 一、实验目的 (1)了解双踪示波器、低频信号发生器及晶体管毫伏表的原理框图和主要技术指标; (2)掌握用双踪示波器测量信号的幅度、频率; (3)掌握低频信号发生器、晶体管毫伏表的正确使用方法。 二、实验器材 双踪示波器DF4321型(或HH4310A型)低频信号发生器DF1641B型(或SG1631C型)晶体管毫伏表DF2175型 三、实验原理与参考电路 在电子技术实验里,测试和定量分析电路的静态和动态的工作状况时,最常用的电子仪器有示 示波器:用来观察电路中各点的波形,以监视电路是否正常工作,同时还用于测量波形的周期、幅度、相位差及观察电路的特性曲线等。 低频信号发生器:为电路提供各种频率和幅度的输入信号。 直流稳压电源:为电路提供电源。 晶体管毫伏表:用于测量电路的输入、输出信号的有效值。 万用表:用于测量电路的静态工作点和直流信号的值。 四、实验内容及步骤 1.低频信号发生器与晶体管毫伏表的使用 (1)信号发生器输出频率的调节方法 按下“频率范围”波段开关,配合面板上的“频率调节”旋钮可使信号发生器输出频率在0.3Hz~3MHz的范围改变。 (2)信号发生器输出幅度的调节方法 仪器面板右下方的Q9是信号的输出端,调节“输出衰减”开关和“输出调节”电位器,便可在输出端得到所需的电压,其输出为0-20V P-P的范围。 (3)低频信号发生器与毫伏表的使用 将信号发生器频率调至lkHz,调节“输出调节”旋钮,使仪器输出电压为5V P-P左右的正弦波,分别置分贝衰减开关于0dB、—20dB、—40dB、—60dB挡,用毫伏表分别测出相应的电压值。注意测量时不要超过毫伏表的量程,并且尽可能地把档位调到与被测量值相接近,以减小测量误差。 2.示波器的使用 (1)使用前的检查与校准 先将示波器面板上各键置于如下位置:“工作方式”位于“交替”(如果只观察一个波形可置于CHl通道或CH2通道);“极性”选择位于“+”;“触发方式”位于“内触发”;“DC,GND,AC"开关位于“AC”;“高频,常态,自动”开关位于“自动”位置;“灵敏度V/div"开关于“0.2V/div"档,“扫速t/div"开关于“0.2ms/div"档,亮度、辉度、位移、电平开关置中间位置,开启电源后,

电力电子技术仿真实验指导书

《电力电子技术实验》指导书 合肥师范学院电子信息工程学院

实验一电力电子器件 仿真过程: 进入MATLAB环境,点击工具栏中的Simulink选项。进入所需的仿真环境,如图所示。点击File/New/Model新建一个仿真平台。点击左边的器件分类,找到Simulink和SimPowerSystems,分别在他们的下拉选项中找到所需的器件,用鼠标左键点击所需的元件不放,然后直接拉到Model平台中。 图 实验一的具体过程: 第一步:打开仿真环境新建一个仿真平台,根据表中的路径找到我们所需的器件跟连接器。 元件名称提取路径

触发脉冲Simulink/Sources/Pulse Generator 电源Sim Power Systems/Electrical Sources/ DC Voltage Source 接地端子Simulink/Sinks/Scope 示波器Sim Power Systems/Elements/Ground 信号分解器Simulink/Signal Routing/Demux 电压表Sim Power Systems/Measurements/ Voltage Measurement 电流表Sim Power Systems/Measurements/Current Measurement 负载RLC Sim Power Systems/Elements/ Series RLC Branch GTO器件Sim Power Systems/Power Electronics/Gto 提取出来的器件模型如图所示: 图 第二步,元件的复制跟粘贴。有时候相同的模块在仿真中需要多次用到,这时按照常规的方法可以进行复制跟粘贴,可以用一个虚线框复制整个仿真模型。还有一个常用方便的方法是在选中模块的同时按下Ctrl键拖拉鼠标,选中的模块上会出现一个小“+”好,继续按住鼠标和Ctrl键不动,移动鼠标就可以将模块拖拉到模型的其他地方复制出一个相同的模块,同时该模块名后会自动加“1”,因为在同一仿真模型中,不允许出现两个名字相同的模块。 第三步,把元件的位置调整好,准备进行连接线,具体做法是移动鼠标到一个器件的连接点上,会出现一个“十字”形的光标,按住鼠标左键不放,一直到你所要连接另一个器件的连接点上,放开左键,这样线就连好了,如果想要连接分支线,可以要在需要分支的地方按住Ctrl键,然后按住鼠标左键就可以拉出一根分支线了。 在连接示波器时会发现示波器只有一个接线端子,这时可以参照下面示波器的参数调整的方法进行增加端子。在调整元件位置的时候,有时你会遇到有些元件需要改变方向才更方便于连接线,这时可以选中要改变方向的模块,使用Format菜单下的Flip block 和Rotate

电力电子技术实验指导书

电力电子技术实验指导书 河南机电职业学院 2010年4月

学生实验守则 一、学生进入实验室必须服从管理,遵守实验室的规章制度。保持实验室的安静和整洁,爱护实验室的一切设施,不做与实验无关的事情。 二、实验课前要按照教师要求认真预习实验指导书,复习教材中于实验有关的内容,熟悉与本次实验相关的在理论知识,同时写出实验预习报告,并经教师批阅后方可进行实验。 三、实验课上要遵守操作规程,线路连接好后,先自行检查,后须经指导教师检查后,才可接通电源进行实验。如果需更改线路,也要经过教师检查后才能接通电源继续实验。 四、学生实验前对实验所用仪器设备要了解其操作规程和使用方法,实验过程中按照要求记录实验数据。实验中有仪器损坏情况,应立即报告指导教师检查处理。凡因不预习或不按照使用方法误操作而造成设备损坏后,除书面检查外,还要按照规定进行赔偿。 五、注意实验安全,不要带电连接、更改或拆除线路。实验中遇到事故应立即关断电源并报告教师处理。 六、实验完成后,实验数据必须经教师签阅后,方可拆除实验线路。并将仪器、设备、凳子等按照规定放好,经教师同意后方可离开实验室。 七、实验室仪器设备不能擅自搬动、调换,更不能擅自带出实验室。 八、因故缺课的同学可以向实验室申请一次补做机会。无故缺课、无故迟到十五分钟以上或者早退的不予补做,该实验无成绩。

第一章电力电子技术实验的基本要求 和安全操作说明 《电子电力技术》是电气工程及其自动化、自动化等专业的三大电子技术基础课程之一,课程涉及面广,内容包括电力、电子、控制、计算机技术等。而实验环节是该课程的重要组成部分,通过实验,可以加深对理论的理解,培养和提高动手能力、分析和解决问题的独立工作能力。 1-1 实验的特点和要求 电力电子技术实验的内容较多、较新,实验系统也比较复杂,系统性较强。理论教学是实验教学的基础,要求学生在实验中应学会运用所学的理论知识去分析和解决实际系统中出现的各种问题,提高动手能力;同时通过实验来验证理论,促进理论和实际相结合,使认识不断提高、深化。通过实验,学生应具备以下能力: (1)掌握电力电子变流装置的主电路、触发和驱动电路的构成及调试方法,能初步设施和应用这些电路; (2)熟悉并掌握基本实验设备、测试仪器的性能和使用方法; (3)能够运用理论知识对实验现象、结果进行分析和处理,解决实验中遇到的问题; (4)能够综合实验数据,解释实验现象,编写实验报告。 1-2 实验前的准备 实验准备即为实验的预习阶段,是保证实验能否顺利进行的必要步骤。每次实验前都应先进行预习,从而提高实验质量和效率,否则就有可能在实验时不知如何下手,浪费时间,完不成实验要求,甚至有可能损坏实验装置。因此,实验前应做到: (1)复习教材中与实验有关的内容,熟悉与本次实验相关的理论知识。 (2)阅读本教材中的实验指导,了解本次实验的目的和内容;掌握本次实验系统的工作原理和方法;明确实验过程中应注意的问题。 (3)写出预习报告,其中应包括实验系统的详细接线图、实验步骤、数据记录表格等。 (4)进行实验分组,一般情况下,电力拖动自动控制系统实验的实验小组为每组2~3人。 1-3 实验实施 在完成理论学习、实验预习等环节后,就可进入实验实施阶段。实验时要做到以下几点: (1)实验开始前,指导教师要对学生的预习报告作检查,要求学生了解本次实验的目的、内容和方法,只有满足此要求后,方能允许实验。 (2)指导教师对实验装置作介绍,要求学生熟悉本次实验使用的实验设备、仪器,明确这些设备的功能与使用方法。 (3)按实验小组进行实验,实验小组成员应进行明确的分工,以保证实验操作协调,记录数据准确可靠,各人的任务应在实验进行中实行轮换,以便实验参加者能全面掌握实验技术,提高动手能力。 (4)按预习报告上的实验系统详细线路图进行接线,一般情况下,接线次序为先主电路,后控制电路;先串联,后并联。在进行调速系统实验时,也可由2人同时进行主电路和控制电路的接线。 (5)完成实验系统接线后,必须进行自查。串联回路从电源的某一端出发,按回路逐项

数字电子技术实验指导书

数字电子技术实验指导书 (学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截

相关文档