文档库 最新最全的文档下载
当前位置:文档库 › 数字电路小系统的设计实验

数字电路小系统的设计实验

数字电路小系统的设计实验
数字电路小系统的设计实验

实验3.3 数字电路小系统设计 (6学时分2次完成)

钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能,在定时控制、定时检测等方面也有广泛应用。

一、实验目的

设计一个包含脉冲波形产生、计数、译码、显示及控制逻辑等部件的数字,并在面包板上实现。

二、实验思路和实验前准备

1.熟悉译码器的逻辑功能

一个译码器是将输入确定位数二进制代码的不同组合“翻译”成不同的对应输出信号。常用的译码器有教材上介绍的3-8译码器74LS138,即输入有3位二进制,其对应的8种组合分别与一个输出对应,其逻辑符号如图3.3.1。当所有74LS138的输入控制端有效时,输出与输入最小项的对应关系是i i m Y (i =0,1,…7)。因此教材中已经介绍了它可以实现多输出逻辑函数。

但在数字系统的设计中,译码器的另一个更为重要的作用是地址译码,也就是说,译码器将A 0、A 1、A 2输入的三位地址“翻译”成8个输出信号,A 0、A 1、A 2的一个确定值仅对

有一个输出为低电平有效。一般在数字计算机系统中,译码器的这8个输出信号分别接到其它器件的片选端CS (Chip Select ),其上的横线代表片选信号是低电平有效,即低电平选中该芯片,它就可以与计算机通信数据。因此74LS138的8个输出最多可以连接8个计算机外设

图3.3.1 74LS138逻辑符号图

图3.3.2 IBM —PC/XT 系统主板 I/O 接口地址译码电路

接口,而任一时间最多只选中一个工作。图3.3.2就是早期的PC 计算机使用74LS138译码器构成计算机中DMA 控制器8237、中断控制器8259A 、计数/定时器T/C (8253)、并行可编程接口PPI (8255A )、DMA 页面寄存器及NMI 屏蔽寄存器的片选或控制端。根据图中连接方法,可得到各芯片或寄存器的地址空间如图3.3.2右面所列。在信号AEN=1时,大家分析图中所标的各个芯片的地址范围是否正确?这一部分在学习微型计算机原理时会有更深刻的体会。

译码器还有许多其它的型号,与集成逻辑门中介绍的方法一样,可以在丰富的网络资源中得到找到需要的相关信息。

另外,在实验前,有必要先介绍一下器件符号的概念,对于同一个器件的逻辑符号图或引脚图,不同的器件手册或教材使用的引脚符号和表示形式都不一样,也许大家在学习过程中已经注意到这一点。为了与教材保持一致,我们对逻辑符号图进行规范,即逻辑符号框图内所有变量均为正逻辑(即框内符号上没有非号),逻辑符号框图外输入端的小圆圈表示该输入控制端为低电平有效,而输出端的小圆圈表示反码输出。即小圆圈实现了逻辑非运算,那么逻辑符号框图外对应的每个引脚的符号或变量名就默认为:当逻辑符号框图外引脚没有小圆圈时,那么该引脚对应的变量名与框图内符号一样,但用斜体表示是变量。例如,图3.3.1中的A 0、A 1、A 2和E 3;当框图外引脚有小圆圈时,那么该引脚对应的变量名是在框内符号上冠一非号,例如,图3.3.1中的0Y 、1Y 、…7Y 、2E 和1E 。以后框图外引脚对应的变量名不再标出,但使用时按照以上规定。但要注意这只是教材的规定,并不是标准,其它的参考书或器件手册中的标法可能会五花八门。因此,使用器件时,大家学会使用器件的方法是最重要的,这样面对不断出现的新器件才不会束手无策。通过大量的使用集成器件,大家会发现使用中小规模的集成器件只要了解以下几点即可:

1) 当输入信号端有小圆圈(一般是控制输入端),表示该端为低电平有效,当输出信 号端有小圆圈,表示器件工作时该端输出低电平有效;

2) 多控制端芯片只有当所有控制端同时有效时,才可以实现芯片的逻辑功能; 3) 如果资料中给出了器件的功能表,要学会看对应的功能表,器件功能以功能表为准; 4) 资料也是经常会有出错的情况,遇到问题可以通过实验来最后验证。

2. 集成计数器

计数器的功能是累计输入脉冲个数。它是数字系

图3.3.3 74LS393管脚图

统中使用最为广泛的时序逻辑部件。计数器的种类非常繁多,为了降低集成电路的价格,所以厂家会批量生产通用的十六进制(二进制)和十进制计数器。

虽然有通用的十六进制和十进制计数器,但实际应用中时常会用到其它进制计数,比如,数字钟的24和60进制等,对于其它进制计数器的设计,可以通过教材中介绍的使用反馈的方法来实现。

例如,现有一块十六进制计数器74LS393,其功能如表3.3.1,管脚符号如图3.3.3。使用74LS393的清0端MR 端作为反馈清0,实现十进制计数器如图3.3.4所示。

图3.3.5给出了24进制计数器设计举例。

3. LED (Light Emitting Diode )显示器(七段数码管)

LED 显示器在许多的数字系统中作为显示输出设备,使用非常广泛。它的结构是由发光二极管构成如图3.3.6所示的a 、b 、c 、d 、e 、f 和g 七段,并由此得名,实际上每个LED 还有一个发光段dp ,一般用于表示小数点,所以也有少数的资料将LED 称为八段数码管。

LED 内部的所有发光二极管有共阴极接法和共阳极接法两种,即将LED 内部所有二极管阴极或阳极接在一起并通过com 引脚引出,并将每一发光段的另一端分别引出到对应的引脚,LED 的引脚排列一般如图3.3.6所示,使用时以具体型号的LED 资料为依据。通过点亮不同的LED 字段,可显示数字0,1,┅,9和A ,b ,C ,d ,E ,F 等不同的

图3.3.5 用74LS393实现24进制计数器

图3.3.4 用74LS393实现10进制计数器

字符及自定义一些段发光代表简单符号。

图3.3.7为LED 的使用举例,图中的LED 为共阳极接法,因此,com 端接5V 电压,其它引脚端通过限流电阻接到锁存器74LS373的输出,当各段输入端为逻辑“1”,对应的LED 不亮;各段输入端为逻辑“0”时,对应LED 才发亮。使用时要根据LED 正常发光需要的电流参数估算限流电阻取值。电阻取值越小,电流大,LED 会更亮,但要注意长时间过热使用烧坏LED 。

在实验中当共阳极LED 与74LS47译码器配合使用时,因为

不是每个引脚接限流电阻,故需要在总回路中限流,应在com 端 图3.3.6 LED 结构和引

和V cc 之间串接1个470Ω限流电阻,如图3.3.6所示

LED 多数情况用于显示十进制数字,要将0~9的数字用7段显示,必须将数字转换为LED 对应七段码的信息,比如,要显示“0”,就是让a 、b 、c 、d 、e 和f 段发光,显示“1”, 让b 和c 段发光,等等如表3.3.2所示。然后根据LED 是共阴极还是共

阳极接法确定LED 各输入端应接逻辑1还是逻辑0,如果是共阳接法,要显示“0” 时,a 、b 、c 、d 、e 和f 段就要输入逻辑0,共阴极接法则恰巧相反。也就是说,对于共阴极和共阳极两种不同的接法,显示同一个字符时,对应的显示段码是不同的,互为反码。表3.3.2列出了这两种接法下的字形段码关系表。表中的段码数字是以LED 的8段与二进制字节数以下列对应关系为前提得到的:

图3.3.7 LED 显示举例

D 7 D 6 D 5 D 4 D 3 D 2 D 1 D 0

dp g f e d c b a

表3.3.2 BCD 码与LED 相应发光段对照表

比如为了显示“0”,对应共阴极应该使D 7D 6D 5D 4D 3D 2D 1D 0 = 00111111B ,即3FH ;对共阳极应该使D 7D 6D 5D 4D 3D 2D 1D 0 = 11000000B ,即C0H 。如表3.3.3所示,从表中可以看出,对于同一个显示字符,共阴极和共阳极的七段码互为反码。

将待显示内容“翻译”为LED 段码的过程,可以由软件查表方法实现译码,这在学习微型计算机有关课程时会使用。也可以采用专用芯片,比如,带驱动的LED 七段译码器74LS47及74LS48、74LS49等,依靠硬件实现译码。

表3.3.3 7段LED 显示器字符段码表

4.七段译码器

七段译码器也称为BCD —七段显示译码器,顾名思义,它是将输入的BCD 码翻译成LED 显示该BCD 的七段信息输出。七段译码器有输出低电平有效和高电平有效的多种型号。当选用的LED 是共阳极接法时,应使用低电平输出有效的七段译码器,如7446和7447等;当选用的LED 是共阴极接法时,应使用高电平输出有效的七段译码器,如7448和7449(OC 输出)等。

七段译码器驱动LED 的原理如图3.3.8所示,图中的“?”是表示LED 和七段译码器之间一般还要接限流电阻或其它匹配电路,或者驱动译码器是OC 输出,需要接上拉电阻。七段译码器内部一般包含了LED 的驱动电路,驱动共阳极LED 的译码器(输出低电平对应段亮)驱动电流一般较大(灌电流),如果该电流与LED 器件的正常工作电流近似,那么可以直接驱动LED ,如果驱动电流大于LED

图3.3.8 七段译码显示原理框图

正常电流许多,那么两者之间要加限流电阻,根据LED的参数估算限流电阻的大小;如果七段译码器驱动能力不够大,特别是驱动共阴极LED时,可以在两者之间加适当的上拉电阻,比如7448驱动共阴极的BS201时,要LED有正常显示亮度就要加上拉电阻。

LED也有多种型号,如BS211、BS212、BS213为共阳型;BS201、BS202、BS203为共阴型。每种型号的LED厂家手册都提供了详细功能及参数介绍(可以通过资料或网络查找),比如,七段共阴磷砷化镓显示器BS201主要参数:

1)消耗功率P M=150mW

2)最大工作电流I FM=100mA

3)正常工作电流I F=40mA

4)正向压降V F ≤ 1.8V

5)发红色光

6)BS201燃亮电压为5v

共阴极BS202LED的P M=300mW,I FM=200 mA,I F=60mA,V F ≤ 1.8V,V R≥5V,发红光。

实验中采用七段共阳极数码管(TFK-433)和74LS47七段驱动器,查找它们的详细资料,分析两者之间应如何连接?画出连线图。

5.多个LED的动态扫描显示

在许多实际的系统中,经常需要多个LED显示系统的信息,比如,数字钟实验要显示时、分和秒信息,就必须要6个LED,对这些LED的控制也可以和上面一位LED显示器一样,采用6个七段译码器驱动每一个LED,并使所有LED的公共端始终接有效信号,即共阴极LED公共端接地,共阳极LED公共端接电源。这种LED显示方式称为静态显示方式。采用静态方式,LED亮度高,但这是以复杂硬件驱动电路作为代价的,硬件成本高。

因此,在实际使用时,特别是有微处理器的系统中,如果用多位的LED显示,一般采取动态扫描方式、分时循环显示,即多个发光管轮流交替点亮。这种方式的依据是利用人眼的滞留现象,只要在1秒内一个发光管亮24次以上,每次点亮时间维持2ms以上,则人眼感觉不到闪烁,宏观上仍可看到多位LED同时显示的效果。动态显示可以简化硬件、降低成本、减小功耗。图3.3.9是一个6位LED动态显示电路,段驱动器输出LED字符7段代码信息,位驱动器输出6个LED的位选信号,即分时使Q0~Q5轮流有效,使得LED0~LED5轮流显示。

6. 熟悉计数器、七段译码器和LED 显示器逻辑功能 按图3.3.4连线,用74LS393实现一位十进制计数器;由七段共阳极数码管(TFK -433)显示计数结果,其引脚排列同图3.3.6 ;数码管由BCD —七段显示译码器(74LS47)驱动,其引脚排列如图3.3.10所示。

TFK -433和74LS47之间按实验前准备分析的方式连接。一位十进制计数器的译码、显示电路原理图如图3.3.11所示, 搭接电路并实验。 ET-3200A 数字学习机上的1Hz 信号可以作为计数输入,或者用555定时器构成1Hz 信号作为计数器时钟输入。

7.验证人眼的滞留现象

首先,将ET-3200A 学习机上的1Hz 时钟信号接到逻辑显示器的L4端,观察L4的显示效果;然后,将1KHz 时钟信号接到逻辑显示器的L4端,观察L4的显示效果;最后将100KHz 时钟信号接到逻辑显示器的L4端,观察L4的显示效果。

记录观察的到现象,分析原因。

8.555定时器组成的多谐振荡器

555定时器是一种模拟和数字电路相混合的集成电路。它结构简单、性能可靠、使用灵活,通过外接少量的电阻和电容元件即可组成多种波形发生器,广泛应用于定时延迟控制电路、报警、检测、自控及家用电器等。

555定时器有TTL 和CMOS 两种结构类型,多种型号。该实验使用5G555构成多谐振荡器。5G555的功能如表3.3.4所示,引脚排列如图3.3.12所示。电路芯片各引脚功能为:

1脚——(CND)地

2脚——(TR )低电平触发(<十cc V 3

1) 3脚——(OUT )输出端

4脚——(D R )复位端(不用时接V cc )

5脚——(C-U )电压控制端,可改变上、下触发电位,不使用时通过0.01uF 电容接到地。 6脚——(TH )高电平触发( >十cc V 32

) 7脚——(DIS )放电端

8脚——(V cc)电源端(V cc=5V ~18V)

图3.3.10 74LS47符号图

图3.3.11 实验原理框图

表3.3.4. 5G555功能表

由功能表3.3.4可见,555的输出逻辑主要由以下3个引脚控制 1)引脚4——复位端D R 为0则输出OUT 为逻辑0;

2)引脚6——高电平触发TH >十cc V 32,DIS 放电管导通,输出OUT 为0;

3)引脚2——低触发电平TR <十cc V 3

1

,DIS 放电管截止,输出OUT 为1。

由555定时器构成的多谐振荡器电路如图3.3.13所示,所产生的信号振荡频率为:

f =1/(T 1+T 2) =1.44/(R 1+2R 2)C

试选择电路的R 1、R 2和C 参数,若R 1=20KΩ、R 2=62KΩ、C =10uF 和C =0.01uF 时,计算输出信号的周期、频率各为多少?

9. 数字钟结构框图

R 1

R 2 u C

C 12 t

(a) (b)

图3.3.13 555定时器构成的多谐振荡器及工作波形图

(a) 电路图 (b) 波形图

图3.3.12 555引脚图

cc

在进行一个设计之前首先要搞清楚命题要求,划分系统,给出设计框图。对于一个简单的数字钟系统,其结构一般如图3.3.14所示,主要包括时间基准电路、计数器电路、控制电路、译码和显示电路。其中的控制逻辑电路是比较灵活多样的,不断完善它可以增强数字钟的功能。

时基电路可以由石英晶体振荡电路构成,如果晶振频率为1MHz ,经过6次十分频就可以得到秒脉冲信号;也可以用5G555多谐振荡器组成。

计数器实现时分秒计时,秒和分采用60进制计数,时采用24进制计数。可以由多片中规模集成计数器实现。

译码电路可以由七段译码器完成,显示由6个LED 构成。 控制电路由数字钟功能确定,功能越多控制电路当然就越复杂。

比如,要求数字钟实现校时功能,那么就需要在“时”“分”“秒”的计数输入端加入校时控制电路,图3.3.15为一个手动校“分”的常用例子,当控制开关K 在1位置,电路处于正常计时状态,当控制开关K 在2位置,通过手动单次脉冲开关进行校分。校时也可以通过同样的方法实现。在实验中用手动校“秒”就没有多大意义了。

三、实验内容和步骤

根据前边知识的预习及准备,要求画出设计原理图及实验连线图,用开放实验下发的元器件和面包板,设计一个包含脉冲波形产生的计数、译码、

显示及控制逻辑等部件的数字电

图3.3.15 手动校“分”电路

子钟系统,在面包板上实现。根据设计的数字钟电路连线图,课外搭接好电路。

1.前3学时内完成以下单元电路调试和总体电路联调:

1)用555定时器设计一个频率符合要求的脉冲波形产生电路;

2)用74LS393和74LS08设计一个二位计数器电路;

3)用74LS47和LED显示器设计一个二位译码、显示电路;

4)完成二位计数、译码、显示电路;

5)组合完成一个含有计数、译码、显示及控制逻辑的数字钟系统。

2. 后3学时内完成相关电路波形的参数测试和数据分析:

1)更换脉冲波形产生电路中的电容,用LED指示灯观测输出频率的变化,并分析RC与频率变化的关系;

2)用双踪示波器测量555定时器组成的脉冲波形产生电路中,电容C上的充、放电电压V c波形与输出电压V o波形之间的相位关系,正确标出波形幅值、周期和脉宽;将测量得到的数据与理论计算值比较,分析误差。

3)用双踪示波器观测74LS393计数器CP与各Q端波形的相位关系,即从0000状态开始,正确画出CP与Q3、Q2、Q1、Q0的十进制波形图。

四、实验报告:

实验题目:数字电路小系统设计

1. 设计报告:

1)目的、任务及要求;

2)电路组成及工作原理。

2.调试报告:

1)所用仪器及实验器材;

2)实验电路管脚连线图;

3)调试方法及步骤(单元调试,总体联调);

4)脉冲波形产生电路参数(R1、R2、C)计算及元件选取;

5)RC的不同组合与频率的关系;

6)正确记录并分析如下各点波形:

(1)用示波器测量脉冲波形产生电路中,电容C上的充、放电电压V c波形与输出电压V o波形之间的相位关系,正确标出波形幅值、周期和脉宽;并将测量得到的实验数据与理论计算值比较,分析误差。

(2)用示波器观测计数器CP与各Q端波形的相位关系,即从0000状态开始,画出CP与Q3、Q2、Q1、Q0的十进制波形图。

3.总结报告:

1)总结单元电路调试、总体电路联调方法;

2)在不损坏元器件且学有余力的情况下,调试中同学可以互设故障,相互排除,交流讨论,激发学习兴趣,提高分析和解决实际问题的能力,把所得收获充实到报告中。

3)附上实验原始记录,写出存在问题和建议。

五、实验设备

双踪示波器、函数发生器、数字学习机、开放实验面包板、万用表、双路直流稳压电源。

数字电路课程设计实验报告1

序言 在测试、研究或调整电子电路及设备时,为测定电路的一些点参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所需技术要求的电信号,以模拟在实际工作中使用的待测设备的激励信号。信号发生器即由此而来,作为电子设计中常用仪器仪表,信号发生器又称信号源,可以用来产生被测电路所需特定参数的电测试信号。根据输出波形的不同,信号源可以分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。例如当要求进行系统的稳定性测量时,需使用振幅、波形、幅值等能在一定范围内进行精确调整,有很好的稳定性,有输出指示。基于信号发生器的广泛使用,对信号发生器设计的研究就显得非常有意义。 本课题是利用VHDL语言来实现计费功能的,VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力,因此选用VHDL语言进行编程。 本次课程设计巩固和运用了所学课程,通过理论联系实际,提高了分析、解决计算机技术实际问题的独立工作能力,通过对一个函数信号发生器的设计,进一步加深了对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉了数字电路系统设计、制作与调试的方法和步骤。进一步了解了计算机组成原理与系统结构,使自己对EDA技术的学习更深入,学会用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。 现在的信号发生器设计有以下几种方法: (1) 模拟直接合成法。这种方法充分利用了乘法器、除法器、混频器、滤波器和快速开关构成合成信号发生器,但是它的缺点是带宽不够高,性能差,构成信号发生器的电路体积比较庞大,而且功耗较高。

数字电路设计实例

数字电路综合设计案例 8.1 十字路口交通管理器 一、要求 设计一个十字路口交通管理器,该管理器自动控制十字路口两组红、黄、绿三色交通灯,指挥各种车辆和行人安全通过。 二、技术指标 1、交通管理器应能有效操纵路口两组红、黄、绿灯,使两条交叉道路上的车辆交替通行,每次通行时间按需要和实际情况设定。 2、在某条道路上有老人、孩子或者残疾人需要横穿马路时,他们可以举旗示意, 执勤人员按动路口设置的开关,交通管理器接受信号,在路口的通行方向发生转换时,响应上述请求信号,让人们横穿马路,这条道上的车辆禁止通行,即管理这条道路的红灯亮。 3、横穿马路的请求结束后,管理器使道口交通恢复交替通行的正常状态。 三、设计原理和过程: 本课题采用自上而下的方法进行设计。 1.确定交通管理器逻辑功能 ⑴、十字路口每条道路各有一组红、黄、绿灯,用以指挥车辆和行人有序地通行。其中红灯亮表示该条道路禁止通行;黄灯亮表示停车;绿灯亮表示通行。因此,十字路口车辆运行情况有以下几种可能: ①甲道通行,乙道禁止通行; ②甲道停车线以外的车辆禁止通行(必须停车),乙道仍然禁止通行,以便让甲道停车线以内的车辆安全通过; ③甲道禁止通行,乙道通行; ④甲道仍然不通行,乙道停车线以外的车辆必须停车,停车线以内的车辆顺利通行。 ⑵、每条道路的通车时间(也可看作禁止通行时间)为30秒~2分钟,可视需要和实际情况调整,而每条道路的停车时间即黄灯亮的时间为5秒~10秒,且也可调整。 ⑶、响应老人、孩子或残疾人特殊请求信号时,必须在一次通行—禁止情况完毕后, 阻止要求横穿的那条马路上车辆的通行。换句话说,使另一条道路增加若干通行时间。 设S1和S2分别为请求横穿甲道和乙道的手控开关,那么,响应S1或S2的时间必定在甲道通乙道禁止或甲道禁止乙道通两种情况结束时,且不必过黄灯的转换。这种规定是为了简化设计。 由上述逻辑功能,画出交通管理器的示意图如图8-1所示,它的简单逻辑流程图如图8-2所示。示意图中甲道的红、黄、绿灯分别用R、Y、G表示,而乙道的红、黄、绿灯分别用r、y、g表示。简单逻辑流程图中设定通行(禁止)时间为60秒,停车时间为10秒。

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

数字电路与逻辑设计实验实验四

中山大学南方学院 电气与计算机工程学院 课程名称:数字电路与逻辑设计实验实验题目:译码显示电路

附:实验报告 专业:电子信息科学与技术年级:18 完成日期:2020年7月05日学号:182018010 姓名:叶健行成绩: 一、实验目的 (一)掌握中规模集成译码器的逻辑功能和使用方法。 (二)熟悉数码管的使用。 二、实验原理 (一)数码显示译码器 1、七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图1 (a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。 (a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动)

(c) 符号及引脚功能 图1 LED 数码管 2、BCD 码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD 码锁存/七段译码/驱动器。驱动共阴极LED 数码管。图2为74LS48引脚排列。 其中 A 、B 、C 、D — BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 (二)扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds 的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片 LED

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

电路仿真实验报告

本科实验报告实验名称:电路仿真

实验1 叠加定理的验证 1.原理图编辑: 分别调出接地符、电阻R1、R2、R3、R4,直流电压源、直流电流源,电流表电压表(Group:Indicators, Family:VOLTMETER 或AMMETER)注意电流表和电压表的参考方向),并按上图连接; 2. 设置电路参数: 电阻R1=R2=R3=R4=1Ω,直流电压源V1为12V,直流电流源I1为10A。 3.实验步骤: 1)、点击运行按钮记录电压表电流表的值U1和I1; 2)、点击停止按钮记录,将直流电压源的电压值设置为0V,再次点击运行按钮记录电压表电流表的值U2和I2; 3)、点击停止按钮记录,将直流电压源的电压值设置为12V,

将直流电流源的电流值设置为0A,再次点击运行按钮记录电压表电流表的值U3和I3; 4.根据叠加电路分析原理,每一元件的电流或电压可以看成是每一个独立源单独作用于电路时,在该元件上产生的电流或电压的代数和。 所以,正常情况下应有U1=U2+U3,I1=I2+I3; 经实验仿真: 当电压源和电流源共同作用时,U1=-1.6V I1=6.8A. 当电压源短路即设为0V,电流源作用时,U2=-4V I2=2A 当电压源作用,电流源断路即设为0A时,U3=2.4V I3=4.8A

所以有U1=U2+U3=-4+2.4=-1.6V I1=I2+I3=2+4.8=6.8A 验证了原理 实验2 并联谐振电路仿真 2.原理图编辑: 分别调出接地符、电阻R1、R2,电容C1,电感L1,信号源V1,按上图连接并修改按照例如修改电路的网络标号; 3.设置电路参数: 电阻R1=10Ω,电阻R2=2KΩ,电感L1=2.5mH,电容C1=40uF。信号源V1设置为AC=5v,Voff=0,Freqence=500Hz。 4.分析参数设置: AC分析:频率范围1HZ—100MHZ,纵坐标为10倍频程,扫描

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

实验三 Matlab的数字调制系统仿真实验(参考)

成都理工大学实验报告 课程名称:数字通信原理 姓名:__________________学号:______________ 成绩:____ ___ 实验三Matlab的数字调制系统仿真实验(参考) 1 数字调制系统的相关原理 数字调制可以分为二进制调制和多进制调制,多进制调制是二进制调制的推广,主要讨论二进制的调制与解调,简单讨论一下多进制调制中的差分相位键控调制(M-DPSK)。 最常见的二进制数字调制方式有二进制振幅键控(2-ASK)、移频键控(2-FSK)和移相键控(2-PSK 和2-DPSK)。下面是这几种调制方式的相关原理。 1.1 二进制幅度键控(2-ASK) 幅度键控可以通过乘法器和开关电路来实现。载波在数字信号1 或0 的控制下通或断,在信号为1 的状态载波接通,此时传输信道上有载波出现;在信号为0 的状态下,载波被关断,此时传输信道上无载波传送。那么在接收端我们就可以根据载波的有无还原出数字信号的1 和0。 幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波在二进制调制信号控制下通断,此时又可称作开关键控法(OOK)。多电平MASK调制方式是一种比较高效的传输方式,但由于它的抗噪声能力较差,尤其是抗衰落的能力不强,因而一般只适宜在恒参信道下采用。 2-ASK 信号功率谱密度的特点如下: (1)由连续谱和离散谱两部分构成;连续谱由传号的波形g(t)经线性调制后决定,离散谱由载波分量决定; (2)已调信号的带宽是基带脉冲波形带宽的二倍。 1.2 二进制频移键控(2-FSK) 数字频率调制又称频移键控(FSK),二进制频移键控记作2FSK。数字频移键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。2FSK

确定版的50个典型经典应用电路实例分析

电路1简单电感量测量装置 在电子制作和设计,经常会用到不同参数的电感线圈,这些线圈的电感量不像电阻那么容易测量,有些数字万用表虽有电感测量挡,但测量范围很有限。该电路以谐振方法测量电感值,测量下限可达10nH,测量范围很宽,能满足正常情况下的电感量测量,电路结构简单,工作可靠稳定,适合于爱好者制作。 一、电路工作原理 电路原理如图1(a)所示。 图1简单电感测量装置电路图 该电路的核心器件是集成压控振荡器芯片MC1648,利用其压控特性在输出3脚产生频 值,测量精度极高。 率信号,可间接测量待测电感L X BB809是变容二极管,图中电位器VR1对+15V进行分压,调节该电位器可获得不同的电压输出,该电压通过R1加到变容二极管BB809上可获得不同的电容量。测量被测电感L X 时,只需将L X接到图中A、B两点中,然后调节电位器VR1使电路谐振,在MC1648的3脚会输出一定频率的振荡信号,用频率计测量C点的频率值,就可通过计算得出L 值。 X 电路谐振频率:f0=1/2π所以L X=1/4π2f02C LxC 式中谐振频率f0即为MC1648的3脚输出频率值,C是电位器VR1调定的变容二极管的电容值,可见要计算L X的值还需先知道C值。为此需要对电位器VR1刻度与变容二极管的对应值作出校准。 为了校准变容二极管与电位器之间的电容量,我们要再自制一个标准的方形RF(射频)电感线圈L0。如图6—7(b)所示,该标准线圈电感量为0.44μH。校准时,将RF线圈L0接在图(a)的A、B两端,调节电位器VR1至不同的刻度位置,在C点可测量出相对应的测量值,再根据上面谐振公式可算出变容二极管在电位器VR1刻度盘不同刻度的电容量。附表给出了实测取样对应关系。 附表振荡频率(MHz)98766253433834

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

数字电路实验Multisim仿真

实验一 逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 或非门逻辑功能的测试 74LS02(四二输入或非门) 仿真结果: 三、与或非门逻辑功能的测试 74LS51(双二、三输入与或非门) 仿真结果: 四、异或门逻辑功能的测试 74LS86(四二输入异或 门)各一片 仿真结果: 二、思考题 1. 用一片74LS00实现Y = A+B 的逻辑功能 ; 2. 用一片74LS86设计 一个四位奇偶校验电路; 实验二 组合逻辑 电路 一、分析半加器的逻辑功能 二. 验证

的逻辑功能 4.思考题 (1)用两片74LS138 接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A、B、C三位代码输入的密码锁(假设密码是011),当输入密码正确时,锁被打开(Y1=1),如果密码不符,电路发出报警信号(Y2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三触发器及触发器之间的转换 1.D触发器逻辑功能的测试(上升沿) 仿真结果; 2.JK触发器功能测试(下降沿) Q=0 Q=0略

3.思考题: (1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 2.3位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数)若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五555定时器及其应用 1.施密特触发器

数字电路仿真实验报告

数字逻辑与CPU 仿真实验报告 姓名: 班级: 学号:

仿真实验 摘要:Multisim是Interactive Image Technologies公司推出的以Windows为基础的仿真工具,具有丰富的仿真分析能力。本次仿真实验便是基于Multisim软件平台对数字逻辑电路的深入研究,包括了对组合逻辑电路、时序逻辑电路中各集成元件的功能仿真与验证、对各电路的功能分析以及自行设计等等。 一、组合逻辑电路的分析与设计 1、实验目的 (1)掌握用逻辑转换器进行逻辑电路分析与设计的方法。 (2)熟悉数字逻辑功能的显示方法以及单刀双掷开关的应用。 (3)熟悉字信号发生器、逻辑分析仪的使用方法。 2、实验内容和步骤 (1)采用逻辑分析仪进行四舍五入电路的设计 ①运行Multisim,新建一个电路文件,保存为四舍五入电路设计。 ②在仪表工具栏中跳出逻辑变换器XLC1。 图1-1 逻辑变换器以及其面板 ③双击图标XLC1,其出现面板如图1-1所示 ④依次点击输入变量,并分别列出实现四舍五入功能所对应的输出状态(点击输出依 次得到0、1、x状态)。 ⑤点击右侧不同的按钮,得到输出变量与输入变量之间的函数关系式、简化的表达式、 电路图及非门实现的逻辑电路。 ⑥记录不同的转换结果。

(2)分析图1-2所示代码转换电路的逻辑功能 ①运行Multisim,新建一个电路文件,保存为代码转换电路。 ②从元器件库中选取所需元器件,放置在电路工作区。 ?从TTL工具栏选取74LS83D放置在电路图编辑窗口中。 ?从Source库取电源Vcc和数字地。 ?从Indictors库选取字符显示器。 ?从Basic库Switch按钮选取单刀双掷开关SPD1,双击开关,开关的键盘控制设 置改为A。后面同理,分别改为B、C、D。 图1-2 代码转换电路 ③将元件连接成图1-2所示的电路。 ④闭合仿真开关,分别按键盘A、B、C、D改变输入变量状态,将显示器件的结果填 入表1-1中。 ⑤说明该电路的逻辑功能。 表1-1 代码转换电路输入输出对应表

数字系统设计实验三

实验四简易数字钟下载 1、实验目的 1)学习掌握数字系统综合设计方法。 2)学习掌握层次设计方法。 3)学习掌握设计下载方法。 4)学习掌握实验系统使用方法。 2、实验原理 数字钟是对输入时基秒脉冲进行计数,依次输出秒数值、分数值、小时数值,从而确定时钟时间,其原理框图如下图所示。 Image 简易数字钟原理图 简易数字钟原理图 实际的数字钟设计中还需要增加年月日的功能,这里框图中

也省略了校时功能的结构。 3、实验内容 1)选择XC2S200PQ208器件建立一个新的工程。 2)在上述工程中,采用VHDL语言的方法设计上述简易数字钟。 3)参考实验系统使用说明,按下列要求锁定引脚。秒、分钟、小时由实验系统的J1、J2输出,显示输出的时分秒间隔一位数码管。时钟输入由J7的1脚输入。 4)下载编程并验证设计结果。 4、实验设备 1)清华同方PⅣ 2.4G\256M60G 2)ISE 6.2i—Windows软件系统 3)多功能EDA实验系统(V型) 5、实验步骤 1)写出简易数字钟的设计程序。 2)画出简易数字钟的仿真波形。 3)将程序下载到芯片中。 a.首先点击菜单Assignments->Device,选择Device family->Cyclone IV E,然后选择芯片型号Available device->EP4CE6F17C8。 b.进行管脚锁定,选择菜单Assignments->Pin Planner,在Location列下为输入\输出变量选择对应的管脚进行锁定。 c.选择快捷菜单进行编译Start Compilation,生成下载文件。 d.点击Tools->Programmer e.点击Add Device,在Device->Cyclone IVE中,选择Device

数字电路与逻辑设计实验总结报告

第二次实验是Quartus11原理图输入法设计,由于是第一次使用Quartus11软 件,实验中遇到了不少问题,总结起来主要有以下几个: (1)在创建工程并且编译通过之后得不到仿真波形 解决方法:经过仔细检查,发现在创建符号文件时,未对其重新命名,使得符号文件名与顶层文件的实体名一样。在改变符号文件名之后成功的得到了仿真波形。 (2)得到的仿真波形过于紧密不便于观察 解决方法:重新对仿真域的时间进行设定,并且对输入信号的周期做相应的调整,最终得到了疏密有致的仿真波形。 实验总结及心得体会 通过本次实验我初步掌握了Quartus11的使用方法,并且熟悉了电路板的使用。在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。 实验操作中应特别注意的几点: (1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。 (2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。 (3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。 (4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。 (5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。 心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。 第三次实验是用VHDL语言设计组合逻辑电路和时序逻辑电路,由于Quartus11软件在之前已经使用过,所以本实验的主要任务就是编写与实验要求相对应的VHDL程序。 总体来说此次实验比较顺利,基本没有遇到什么问题,但有几点需要特别注意。首先是要区分实体名称和结构体名,这一点是程序编写的关键。其次在时序逻辑电路的设计实验中时钟的设置很关键,设置不当的话仿真波形可能不正确。 通过本次实验我初步学会用VHDL语言编写一些简单的程序,同时也进一步熟悉了Quartus11软件的使用。 实验八彩灯控制电路设计与实现 一、实验目的 1、进一步了解时序电路设计方法

数字电路模拟设计实验报告

数字电子设计 客房呼叫器 学院:通化师范学院 专业班级:物理系11级二班 小组成员:黄琳杰呼永建王继洋马一剑周建伟刘启宇郑伟清李楠楠 指导老师:李东康 时间:2013年12月3日

前言 物理实验是人们根据科学研究的目的,利用仪器设备人为地控制模拟自然现象,排除干扰突出主要因素,在有利条件下观察研究自然规律的活动。 因此,物理实验可以简化纯化研究对象和过程,可以强化研究条件,可以控制或再现和重复物理过程。物理实验有多种类型在本次试验设计中,我们采取模拟试验的方式来用数字电路设计餐厅客房服务器。 设计目的与要求 1.选用十个开关模拟某餐厅的十个包房,应用数字电路设计一个客房呼叫器。 2.用数码管显示呼叫服务的客房编号。 发挥部分:试想一下,若存在十个以上包房应如何设计。

总体框图 设计想法 1. 整体设计思路:根据对设计要求的分析,可以将整个呼叫器的逻辑电路划分为编码器,代码转换电路和数码显示电路三个部分。 2. 整体设计流程: a 编码器将客房给出的开关输入编成对应的二进制代码 b 代码转换电路将编码器输出的编码转换为七段显示译码器在显示时所要求的输入代码。 c 用一个数码管显示呼叫信号的号码。

选择器件 74ls147优先编码器管脚图和功能真值表 优先编码器是当多个输入端同时有信号时,电路只对其中优先级别最高的输入信号进行编码,常用的集成优先编码器IC有10线-4线,8线-3线两种。10线-4线优先编码器常见的型号为54/74LS147,54/74147, 3线-8线优先编码器常见的型号为54/74148,54/74LS148. 下面我们以74ls147为例介绍优先编码器功能如图一所示 第九个脚NC为空74ls147优先编码器有九个输入端和四个输出端,某个输入端为0,代表输入某个十进制数当九个输入端全为1时,代表输入的十进制数为0. 74ls147优先编码器的输入端和输出端都是低电平有效即某一个输入端低电平0时,四个输出端就以低电平0的输出其对应的8421 BCD编码,当九个输入全为1时,4个输出也全为1呆比啊输入十进制数0的8421 BCD编码输出。

北邮-数字电路与逻辑设计实验-实验报告(上)

北京邮电大学电路实验中心<数字电路与逻辑设计实验(上)> 实 验 报 告 班级: xxxx 学院: xxx 实验室: xxx 审阅教师:姓名(班内序号): xxx 学号: xxx 实验时间: xxx 评定成绩:

目录 实验1 Quartus II 原理图输入法设计与实现 (3) 一、实验目的 (3) 二、实验所用器材 (3) 三、实验任务要求 (3) 四、实验原理图 (3) 五、实验仿真波形图及分析 (4) 实验2 用VHDL 设计与实现组合逻辑电路 (5) 一、实验目的 (5) 二、实验所用器材 (5) 三、实验任务要求 (5) 四、VHDL代码 (5) 五、实验仿真波形图及分析 (7) 实验3 用VHDL 设计与实现时序逻辑电路 (8) 一、实验目的 (8) 二、实验所用器材 (8) 三、实验任务要求 (8) 四、模块端口说明及连接图 (8) 五、VHDL代码 (9) 六、实验仿真波形图及分析 (10) 实验4 用VHDL 设计与实现数码管动态扫描控制器 (10) 一、实验目的 (10) 二、实验所用器材 (11) 三、实验任务要求 (11) 四、模块端口说明及连接图 (11) 五、VHDL代码 (11) 六、实验仿真波形图及分析 (15) 故障及问题分析 (16) 总结和结论 (17)

实验1 Quartus II 原理图输入法设计与实现 一、实验目的 (1)熟悉用Quartus II原理图输入法进行电路设计和仿真; (2)掌握Quartus II 图形模块单元的生成与调用; (3)熟悉实验板的使用。 二、实验所用器材 (1)计算机; (2)直流稳压电源; (3)数字系统与逻辑设计实验开发板。 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数+CBA,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、实验原理图 (1)半加器原理图 (2)全加器原理图

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

(完整版)基于QuartusII的数字电路仿真实验报告手册

数字电路仿真实验报告 班级通信二班姓名:孔晓悦学号:10082207 作业完成后,以班级为单位,班长或课代表收集齐电子版实验报告,统一提交. 文件命名规则如“通1_王五_学号” 一、实验目的 1. 熟悉译码器、数据选择器、计数器等中规模数字集成电路(MSI)的逻辑功能及其使 用方法。 2. 掌握用中规模继承电路构成逻辑电路的设计方法。 3. 了解EDA软件平台Quartus II的使用方法及主要功能。 二、预习要求 1. 复习数据选择器、译码器、计数器等数字集成器件的工作原理。 2. 熟悉所有器件74LS153、74LS138、74LS161的功能及外引线排列。 3.完成本实验规定的逻辑电路设计项目,并画出接线图,列出有关的真值表。 三、实验基本原理 1.译码器 译码器的逻辑功能是将每个输入的二进制代码译成对应的高、低电平信号。译码器按功能可分为两大类,即通用译码器和显示译码器。通用译码器又包括变量译码器和代码变换译码器。 变量译码器是一种完全译码器,它将一系列输入代码转换成预知一一对应的有效信号。 这种译码器可称为唯一地址译码器。如3线—8线、4线—16线译码器等。 显示译码器用来将数字或文字、符号的代码译成相应的数字、文字、符号的电路。如BCD-七段显示译码器等。 2.数据选择器 数据选择器也陈伟多路选择器或多路开关,其基本功能是:在选择输入(又称地址输入)信号的控制下,从多路输入数据中选择某一路数据作为输出。因此,数据选择器实现的是时分多路输入电路中发送端电子开关的功能,故又称为复用器。一般数据选择器有n 个地址输入端,2n错误!未找到引用源。个数据输入端,一个数据输出端或反码数据输出端,同时还有选通端。目前常用的数据选择器有2选1、4选1、8选1、16选1等多种类型。 3.计数器 计数器是一个庸医实现技术功能的时序部件,它不仅可以用来对脉冲计数,还常用作数字系统的定时、分频、执行数字运算以及其他一些特定的逻辑功能。 74LS161是4位同步二进制计数器,它除了具有二进制加法计数功能外,还具有预置数、保质和异步置零等附加功能。 四、实验内容

实验一 典型环节的电路模拟与数字仿真实验

实验一典型环节的电路模拟与数字仿真实验 一实验目的 通过实验熟悉各种典型环节传递函数及其特性,掌握电路模拟和数字仿真研究方法。 二实验内容 1.设计各种典型环节的模拟电路。 2.编制获得各种典型环节阶跃特性的数字仿真程序。 3.完成各种典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响。 4.运行所编制的程序,完成典型环节阶跃特性的数字仿真研究,并与电路模拟研究的结果作比较。 三实验步骤 1.熟悉实验设备,设计并连接各种典型环节的模拟电路; 2.利用实验设备完成各典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响; 3.用MATLAB编写计算各典型环节阶跃特性的数字仿真研究,并与电路模拟测试结果作比较。分析实验结果,完成实验报告。 四实验结果 1.积分环节模拟电路、阶跃响应

仿真结果: 2.比例积分环节模拟电路、阶跃响应 仿真结果:

3.比例微分环节模拟电路、阶跃响应 仿真结果: 4.惯性环节模拟电路、阶跃响应

仿真结果: 5.实验结果分析: 积分环节的传递函数为G=1/Ts(T为积分时间常数),惯性环节的传递函数为G=1/(Ts+1)(T为惯性环节时间常数)。 当时间常数T趋近于无穷小,惯性环节可视为比例环节, 当时间常数T趋近于无穷大,惯性环节可视为积分环节。

实验二典型系统动态性能和稳定性分析的电路模拟与数 字仿真研究 一实验目的 1.学习和掌握动态性能指标的测试方法。 2.研究典型系统参数对系统动态性能和稳定性的影响。 二实验内容 1.观测二阶系统的阶跃响应,测出其超调量和调节时间,并研究其参数变化对动态性能和稳定性的影响。 三实验步骤 1.熟悉实验设备,设计并连接由一个积分环节和一个惯性环节组成的二阶闭环系统的模拟电路; 2.利用实验设备观测该二阶系统模拟电路的阶跃特性,并测出其超调量和调节时间; 3.二阶系统模拟电路的参数观测参数对系统的动态性能的影响; 4.分析结果,完成实验报告。 四实验结果 典型二阶系统 仿真结果:1)过阻尼

数字电路设计 数字电路应用设计

数字电路设计数字电路应用设计数字电路应用设计。 本书从实用设计方法出发。 结合实际应用。 介绍数字电路设计的方法及应用。 本书共10章。 内容包括数字电路实用设计基础。 电子计数器。 秒表的制作。 数字电路设计电子储钱罐的设计与制作。 自行车用速度计的制作。 出租车计费器的设计与制作。 4路红外遥控电路的设计。 电风扇变速超声波遥控电路的设计。 复印机逻辑控制电路设计。 单片机应用实例。 以及VHDL等。 本书内容结构合理。 配图丰富。 实用性强。 本书既可作为工科院校电子。

通信及相关专业师生的参考用书。 也可供电路设计及研发人员参考阅读。 书名,数字电路应用设计。 作者,关静。 ISBN,9787030257796。 定价,32.00 元。 出版社,科学出版社。 出版时间,2009-11-1。 装帧,平装。 开本,16开。 基本信息。 数字电路应用设计作者:关静编著出版社:科学出版社出版时间:2009-11-1开本:16开I S B N:9787030257796定价:¥32.00。 内容简介。 本书从实用设计方法出发。 结合实际应用。 介绍数字电路设计的方法及应用。 本书共10章。 内容包括数字电路实用设计基础。 电子计数器。 秒表的制作。

电子储钱罐的设计与制作。 自行车用速度计的制作。 出租车计费器的设计与制作。 4路红外遥控电路的设计。 电风扇变速超声波遥控电路的设计。 复印机逻辑控制电路设计。 单片机应用实例。 以及VHDL等。 本书内容结构合理。 配图丰富。 实用性强。 本书既可作为工科院校电子。 通信及相关专业师生的参考用书。 也可供电路设计及研发人员参考阅读。 目录。 第1章数字电路实用设计基础1.1 数字集成电路的分类。 特点及注意事项1.2 数字逻辑电路的测试方法1.3 基本逻辑门电路的测试方法1.4 典型集成逻辑门电路部件逻辑门等等。 逻辑门可以组合使用实现更为复杂的逻辑运算。 1.5 组合逻辑电路的分析与设计逻辑运算又称布尔运算布尔用数学方法研究逻辑问题。

相关文档
相关文档 最新文档