文档库 最新最全的文档下载
当前位置:文档库 › 数字电路试题及答案

数字电路试题及答案

数字电路试题及答案
数字电路试题及答案

数字电路试题及答案

二、单项选择题(本大题共10小题,每小题2分,共20分)

1、十六进制数(8F)16对应的十进制数是(C)

A、141B、142C、143D、144

2、逻辑函数L(A,B,C)=(A+B)(B+C)(A+C)的最简与或表达式为(D)

A、(A+C)B+AC

B、AB+(B+A)C

C、A(B+C)+BC

D、AB+BC+AC

3

A

C

4

A

5A)

6

D、T=

7n,则(

A、

C、

8、为实现D触发器转换成

A

C

9、十六个数据输入端的数据选择器必有地址输入端的个数为(D)

A、1

B、2

C、3

D、4

10、一个4位二进制计数器的最大模数是(C)

A、4

B、8

C、16

D、32

三、简答题(本大题共2小题,每小题5分,共10分)

1、数字电路从整体上看可分为几大类?

答:(1)、按集成度分,有小、中、大、超大、甚大规模;(3分)

(2)、按结构工艺分,有TTL、CMOS集成电路。(2分)

2、最简与-或表达式的标准是什么?

答:(1)、包含的与项最少;(3分)

(2)、每个与项中变量的个数最少。(2分)

四、分析计算题(本大题共6小题,每小题10分,共60分)

1、逻辑电路的输入变量A、B和输出函数F的波形如题3-1图所示,试列出真值表,写出逻辑函数F的逻辑表达式,并画逻辑图。

F=A B=A B

(4

2

F(A

解:画卡诺图如答图。

3、试用3/8线译码器74××138(逻辑符号如下图)和适当的门电路实现以下逻辑函数:L(A,B,C)=AB+BC

解:L=AB+BC=AB(C+)+(A+)(3分)

=m3+m6+m7(3分)

画出该函数的逻辑电路图

如答3-3图所示。(4分)

4、用基本门电路(与门、或门)设计一个三变量的判奇电路(三个变量中有奇数个变量为1时,其输出为1,否则为0)。用A、B、C表示三个变量,F表示输出。A、B、C中允许有反变量出现。要求列出真值表,写出逻辑式,画出逻辑图。

解:真值表见左:(4分)

C+B+A

(1

(2

(3

=Q

次态方程:Q=

Q=Q+Q=Q Q(

(2)、波形图如答3-5

(3)

6、试用集成计数器74××161(见下图)构成七进制计数器(方法不拘),并画状态图。

解:用反馈清零法(3分),

逻辑图(4分)与状态图(3分)

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

脉冲与数字电路——模拟试题一及答案

脉冲与数字电路试题 第一套 一、单选题(每题1分) 1. 回差是( )电路的特性参数。 A 时序逻辑 B 施密特触发器 C 单稳态触发器 D 多谐振荡器 2. 石英晶体多谐振荡器的主要优点是( )。 A 电路简单 B 频率稳定度高 C 振荡频率高 D 振荡频率低 3. 对TTL 与非门多余输入端的处理,不能将它们( )。 A 与有用输入端并联 B 接地 C 接高电平 D 悬空 4. TTL 与非门的关门电平是0.8V ,开门电平是2V ,当其输入低电平为0.4V ,输入高电平为 3.2V 时,其低电平噪声容限为( ) A 1.2V B 1.2V C 0.4V D 1.5V 5. 逻辑函数ACDEF C AB A Y +++=的最简与或式为( ) A .C A Y += B. B A Y += C. AD Y = D. AB Y = 6. 在什么情况下,“与非”运算的结果是逻辑0。 ( ) A .全部输入是0 B. 任一个输入是0 C. 仅一个输入是0 D. 全部输入是1 7. 组合逻辑电路( )。 A 一定是用逻辑门构成的 B 一定不是用逻辑门构成的 C 一定是用集成逻辑门构成的 D A 与B 均可 8. 已知逻辑函数的真值表如下,其表达式是( ) A .C Y = B .AB C Y = C .C AB Y += D .C AB Y +=

图2202 9. 要把不规则的矩形波变换为幅度与宽度都相同的矩形波,应选择( )电路。 A 多谐振荡器 B 基本RS 触发器 C 单稳态触发器 D 施密特触发器 10. 所谓三极管工作在倒置状态,是指三极管( )。 A 发射结正偏置,集电结反偏置 B 发射结正偏置,集电结正偏置 C 发射结反偏置,集电结正偏置 D 发射结反偏置,集电结反偏置 11. TTL 与非门的关门电平为0.8V ,开门电平为2V ,当其输入低电平为0.4V ,输入高电平 为3.5V 时,其输入高电平噪声容限为( )。 A 1.1 V B 1.3V C 1.2V D 1.5V 12. 下图电路,正确的输出逻辑表达式是( )。 A . CD AB Y += B . 1=Y C . 0=Y D . D C B A Y +++= 图2204 13. 下列消除竞争—冒险的方法中错误的是( )。 A 修改逻辑设计 B 引入封锁脉冲 C 加滤波电容 D 以上都不对 14. 连续86个1同或, 其结果是 ( ) A . 1 B . 0 C . 86 D . 286 15. 主从JK 型触发器是( )。

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电路试题及答案

枣庄学院2011 ——2012 学年度第一学期 《数字电路》考试试卷(B卷) (考试时间:150分钟考试方式:开卷) 班级:姓名:学号: 考试内容 1.判断题正确的在括号内记“√”,错误的记“X”(共10分,每题1分) 1)“0”的补码只有一种形式。() 2)卡诺图中,两个相邻的最小项至少有一个变量互反。() 3)用或非门可以实现3种基本的逻辑运算。() 4)三极管饱和越深,关断时间越短。() 5)在数字电路中,逻辑功能相同的TTL门和CMOS门芯片可以互相替代使用。 () 6)多个三态门电路的输出可以直接并接,实现逻辑与。() 7)时钟触发器仅当有时钟脉冲作用时,输入信号才能对触发器的状态产生影响。 () 8)采用奇偶校验电路可以发现代码传送过程中的所有错误。() 9)时序图、状态转换图和状态转换表都可以用来描述同一个时序逻辑电路的逻辑功能,它们之间可以相互转换。() 10)一个存在无效状态的同步时序电路是否具有自启动功能,取决于确定激励函数时对无效状态的处理。() 二.选择题(从下列各题的备选答案中选出1个或多个正确答案,将其填在括号中。共10分) 1.不能将减法运算转换为加法运算。()A.原码 B.反码 C.补码 2.小数“0”的反码可以写为。()A....0 B....0 C....1 D. (1) 3.逻辑函数F=A B和G=A⊙B满足关系。()

A .F=G B .F ’=G C .F ’=G D .F =G ⊕1 4.要使JK 触发器在时钟脉冲作用下,实现输出n n Q Q =+1,则输入端信号应为 。 ( ) A .J =K =0 B .J =K =1 C .J =1,K =0 D .J =0,K =1 5.设计一个同步10进制计数器,需要 触发器。 ( ) A .3个 B .4个 C .5个 D .10个 三.两逻辑函数BCD A D C BC CD B D C A AB F ++++=)(1,D C D B A F ⊕⊕=2,求两者的关系。(10分) 四.用与非门-与非门电路实现逻辑函数C B B A F ⊕+⊕=。(10分) 五.已知:TTL 与非门的I OL =15mA ,I OH =400μA ,V OH =,V OL =;发光二极管正向

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电路模拟试题 ()

《数字逻辑分析与设计》模拟试题 一、 单项选择题 1. 只有在时钟的下降沿时刻,输入信号才能被接收,该种触发器是( )。 A. 高电平触发器 B.下降沿触发器 C. 低电平触发器 D. 上升沿触发器 2. 下列电路中,属于时序逻辑电路的是( ) A. 编码器 B. 译码器 C. 数值比较器 D. 计数器 3. 若将一个TTL 与非门(设输入端为A 、B )当作反相器使用,则A 、B 端应如何连接( ) A. A 、B 两端并联使用 B. A 或B 中有一个接低电平0 C. 不能实现 4. 在二进制译码器中,若输入有5位二进制代码,则输出有( )个信号。 A. 32 B. 16 C. 8 D. 4 5. 同步RS 触发器的“同步”时指( ) A. RS 两个信号同步 B. Qn+1与S 同步 C. Qn+1与R 同步 D. Qn+1与CP 同步 6. 不是最小项ABCD 逻辑相邻的最小项是( ) A. A BCD B. A B CD C. A B C D D. AB C D 7. 与A B C ++相等的为( ) A. A B C ?? B. A B C ?? C. A B C ++ 8. 测得某逻辑门输入A 、B 和输出F 的波形如图1所示,则F(A ,B)的表达式是( ) A. F=AB B. F=A+B C.B A F ⊕= D.B A F = 图1 9. 某逻辑函数的真值表见表1,则F 的逻辑表达式是( )。

A. AC AB F+ = B. C B AB F+ = C. AC B A F+ = D. AC B A F+ = 10. 要实现 n n Q Q= +1 )。 11. 可以用来实现并/( ) A. 计数器 B. 全加器 C. 移位寄存器 D. 存储器 12. 下列触发器中没有计数功能的是() A. RS触发器 B. T触发器 C. JK触发器 D. Tˊ触发器 13. 某逻辑电路输入A、B和输出Y的波形如图2所示,则此电路实现的逻辑功能是() A. 与非 B. 或非 C. 异或 D. 异 或非 图2 14. 若两个逻辑函数相等,则它们必然相同的是() A. 真值表 B. 逻辑表达式 C. 逻辑图 D. 电路图 15. 能将输入信号转变成二进制代码的电路称为() A. 译码器 B. 编码器 C. 数据选择器 D. 数据分配器 二、填空题 1. 完成下列数制之间的转换(25.25) 10 =() 2 =() 8 A B C F 0 0 0 0 1 0 1 0 1 1 1 1 1 1

数字电子技术基础—试题—填空

数字电子技术基础—试题 —填空 Last updated on the afternoon of January 3, 2021

一、填空题:(每空1分,共10分)1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12条、数据线为 8条。 1.八进制数 ) 8 的等值二进制数为() 2 ;十进制数 98 的 8421BCD 码为() 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入高电平。 3 .图15所示电路中的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有两个稳态,它可存储一位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或,与非门,或门 1.(11011)2 =(__27__)10 码的1000相当于十进制的数值8。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F的反函数F。 5.二极管的单向导电性是外加正向电压时导通,外加反向电压时截止。 6.晶体三极管作开关应用时一般工作在输出特性曲线的饱和区和截止区。 7.TTL三态门的输出有三种状态:高电平、低电平和高阻状态。 8. 集电极开路门的英文缩写为OC门,工作时必须外加上拉电阻和电源。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较多。 10.输出n位代码的二进制编码器,一般有 __2n____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

如何看懂电路图之 脉冲电路

在电子电路中,电源、放大、振荡和调制电路被称为模拟电子电路,因为它们加工和处理的是连续变化的模拟信号。电子电路中另一大类电路的数字电子电路。它加工和处理的对象是不连续变化的数字信号。数字电子电路又可分成脉冲电路和数字逻辑电路,它们处理的都是不连续的脉冲信号。脉冲电路是专门用来产生电脉冲和对电脉冲进行放大、变换和整形的电路。家用电器中的定时器、报警器、电子开关、电子钟表、电子玩具以及电子医疗器具等,都要用到脉冲电路。 电脉冲有各式各样的形状,有矩形、三角形、锯齿形、钟形、阶梯形和尖顶形的,最具有代表性的是矩形脉冲。要说明一个矩形脉冲的特性可以用脉冲幅度Um 、脉冲周期T 或频率f 、脉冲前沿t r 、脉冲后沿t f 和脉冲宽度t k 来表示。如果一个脉冲的宽度t k =1 /2T ,它就是一个方波。 脉冲电路和放大振荡电路最大的不同点,或者说脉冲电路的特点是:脉冲电路中的晶体管是工作在开关状态的。大多数情况下,晶体管是工作在特性曲线的饱和区或截止区的,所以脉冲电路有时也叫开关电路。从所用的晶体管也可以看出来,在工作频率较高时都采用专用的开关管,如2AK 、2CK 、DK 、3AK 型管,只有在工作频率较低时才使用一般的晶体管。 就拿脉冲电路中最常用的反相器电路(图1 )来说,从电路形式上看,它和放大电路中的共发射极电路很相似。在放大电路中,基极电阻R b2 是接到正电源上以取得基极偏压;而这个电路中,为了保证电路可靠地截止,R b2 是接到一个负电源上的,而且R b1 和R b2 的数值是按晶体管能可靠地进入饱和区或止区的要求计算出来的。不仅如此,为了使晶体管开关速度更快,在基极上还加有加速电容C ,在脉前沿产生正向尖脉冲可使晶体管快速进入导通并饱和;在脉冲后沿产生负向尖脉冲使晶体管快速进入截止状态。除了射极输出器是个特例,脉冲电路中的晶体管都是工作在开关状态的,这是一个特点。 脉冲电路的另一个特点是一定有电容器(用电感较少)作关键元件,脉冲的产生、波形的变换都离不开电容器的充放电。 产生脉冲的多谐振荡器 脉冲有各种各样的用途,有对电路起开关作用的控制脉冲,有起统帅全局作用的时钟脉冲,有做计数用的计数脉冲,有起触发启动作用的触发脉冲等等。不管是什么脉冲,都是由脉冲信号发生器产生的,而且大多是短形脉冲或以矩形脉冲为原型变换成的。因为矩形脉冲含有丰富的谐波,所以脉冲信号发生器也叫自激多谐振荡器或简称多谐振荡器。如果用门来作比喻,多谐振荡器输出端时开时闭的状态可以把多谐振荡器比作宾馆的自动旋转门,它不需要人去推动,总是不停地开门和关门。 ( 1 )集基耦合多谐振荡器 图2 是一个典型的分立元件集基耦合多谐振荡器。它由两个晶体管反相器经RC 电路交叉耦合接成正反馈电路组成。两个电容器交替充放电使两管交替导通和截止,使电路不停地从一个状态自动翻转到另一个状态,形成自激振荡。从 A 点或 B 点可得到输出脉冲。当R b1 =R b2 =R ,C b1 =C b2 =C 时,输出是幅度接近E 的方波,脉冲周期T=1.4RC 。

《脉冲与数字电路》试题一(满分100分)

《脉冲与数字电路》试题一(满分100分) 一、填空题(每空1分,共40分) 1、脉冲是指存在时间极短的()或()的波形。在脉冲数字电路中, 常用的是()脉冲。 2、电容器充放电过程中,充放电的快慢取决于RC的大小。RC大,则充放电进行的(); RC小,则充放电进行的()。 3、二极管和三极管都具有()特性。利用二极管的单向导电性,可将其作为 ()使用。处于开关状态下的晶体管,其工作点在()区和()区之间跳动。 4、()是最基本的开关电路,它的特点是输出信号和输出信号反相。 5、触发器是数字电路的一种基本()单元,它能够()一位二进制的 信息。它应具备如下功能:有两个(),在输入信号的作用下,它可以置于()态,也可以置于()态;它还必须具有()功能。 6、对于同步RS触发器,用作计数器时,触发器会发生多次翻转,即出现() 现象。 7、TTL电路的电压传输特性分为()、()、()和() 四个阶段。 8、时序电路逻辑功能的特点是:电路任意时刻的输出信号不仅与当时的() 有关,还与电路原来的状态有关。 9、寄存器是具有能够()、()和传送数码的一种逻辑记忆元件,它 分为()和()两种。 10、计数器是数字系统中能()输入脉冲个数的数字电路。它可用来()、 ()、()。 11、卡诺图化简中,两个相邻最小项合并可消去()个变量;八个相邻最小项合 并可消去()个变量 12、CC7555集成电路由()、()和()、 放电管V以及()组成。 13、集成施密特触发器由()、()、()和() 四部分组成。 二、判断题(每小题1分,共20分) 1、脉冲分压器中的加速电容Ci是使输出电压变化加快,以克服Co的延缓作用。() 2、积分电路的输出延缓了输入的跳变部分。() 3、双稳态电路在电源接通后,电路一定处于V1饱和,V2截止。() 4、逻辑代数中的“加”和普通代数中的“加”完全一样。() 5、TTL电路中,V 6、V7对输入信号起箝位作用。() 6、式子A+AB=A成立。() 7、TTL电路实现了“与非”逻辑功能。() 8、由真值表得到的表达式一定是最简与或式。() 9、一位十六进制可以用四位二进制来表示。() 10、时序电路具有记忆功能。() 11、同步触发器中,CP脉冲到来之前,触发器不工作。() 12、编码和译码是两个相反的过程。() 13、对于JK触发器存在一次变化现象。()

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

脉冲与数字电路模拟试题第1套及答案

数字电子技术(第2版)第一套A卷 一、单选题(每题1分) 1.回差是( )电路的特性参数。 A时序逻辑 B 施密特触发器 C 单稳态触发器 D 多谐振荡器 2.石英晶体多谐振荡器的主要优点是( )。 A电路简单 B 频率稳定度高 C 振荡频率高 D 振荡频率低 3.对TTL与非门多余输入端的处理,不能将它们( )。 A与有用输入端并联 B 接地 C 接高电平 D 悬空 4. TTL与非门的关门电平是0.8V,开门电平是2V,当其输入低电平为0.4V,输入高电平 为3.2V 时,其低电平噪声容限为( ) A 1.2V B 1.2V C 0.4V D 1.5V 5.逻辑函数ACDEF + =的最简与或式为() + Y+ A C AB A.C = B. B A Y+ Y= D. AB Y= = C. AD Y+ A 6.在什么情况下,“与非”运算的结果是逻辑0。() A.全部输入是0 B. 任一个输入是0 C. 仅一个输入是0 D. 全部输入是1 7.组合逻辑电路()。 A一定是用逻辑门构成的 B 一定不是用逻辑门构成的 C 一定是用集成逻辑门构成的 D A与B均可 8.已知逻辑函数的真值表如下,其表达式是() 1

2 A .C Y = B .AB C Y = C .C AB Y += D .C AB Y += 图2202 9. 要把不规则的矩形波变换为幅度与宽度都相同的矩形波,应选择( )电路。 A 多谐振荡器 B 基本RS 触发器 C 单稳态触发器 D 施密特触发器 10. 所谓三极管工作在倒置状态,是指三极管( )。 A 发射结正偏置,集电结反偏置 B 发射结正偏置,集电结正偏置 C 发射结反偏置,集电结正偏置 D 发射结反偏置,集电结反偏置 11. TTL 与非门的关门电平为0.8V ,开门电平为2V ,当其输入低电平为0.4V ,输入高电 平为3.5V 时,其输入高电平噪声容限为( )。 A 1.1 V B 1.3V C 1.2V D 1.5V 12. 下图电路,正确的输出逻辑表达式是( )。 A . CD A B Y += B . 1=Y C . 0=Y D . D C B A Y +++=

第六章脉冲波形的产生与整形电路-数字电子技术习题集

第六章 一、选择题 1.脉冲整形电路有。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.555定时器2.多谐振荡器可产生。 A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 3.石英晶体多谐振荡器的突出优点是。 A.速度高 B.电路简单 C.振荡频率稳定 D.输出波形边沿陡峭 4.T T L单定时器型号的最后几位数字为。 A.555 B.556 C.7555 D.7556 5.555定时器可以组成。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器6.用555定时器组成施密特触发器,当输入控制端C O外接10V电压时,回差电压为。 A.3.33V B.5V C.6.66V D.10V 7.以下各电路中,可以产生脉冲定时。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.石英晶体多谐振荡器 二、判断题(正确打√,错误的打×) 1.施密特触发器可用于将三角波变换成正弦波。() 2.施密特触发器有两个稳态。() 3.多谐振荡器的输出信号的周期与阻容元件的参数成正比。() 4.石英晶体多谐振荡器的振荡频率与电路中的R、C成正比。() 5.单稳态触发器的暂稳态时间与输入触发脉冲宽度成正比。() 6.单稳态触发器的暂稳态维持时间用t W表示,与电路中R C成正比。()

7.采用不可重触发单稳态触发器时,若在触发器进入暂稳态期间再次受到触发,输出脉宽可在此前暂稳态时间的基础上再展宽t W。()8.施密特触发器的正向阈值电压一定大于负向阈值电压。() 三、填空题 1.555定时器的最后数码为555的是产品,为7555的是 产品。 2.施密特触发器具有现象,又称特性;单 稳触发器最重 要的参数为。 3.常见的脉冲产生电路有,常见的脉冲整形电路有、。 4.为了实现高的频率稳定度,常采用振荡器;单稳态触发器受到外触发时进入态。 四、练习题 1. 如图所示的单稳态触发器电路中, G 1 和 G 2 为 CMOS 或非门,电源电压V DD =15V 。已知R d = 100k Ω,R = 51 k Ω,C d = 1000pF ,C = 0.01 μ F 。试计算输出脉冲的宽度和幅度。

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

相关文档
相关文档 最新文档