文档库 最新最全的文档下载
当前位置:文档库 › MAXplusⅡ操作指南

MAXplusⅡ操作指南

MAXplusⅡ操作指南
MAXplusⅡ操作指南

4.1 Altera MAX+plusⅡ操作指南

Alter公司的MAX+plusⅡ是一个高度集成化的可编程逻辑器件开发系统,可以开发Alter公司的MAX系列和FLEX系列的可编程器件。该系统的主要特点如下:

①支持多种设计输入方式。如:原理图、VHDL语言、AHDL语言、波形图等。同时还支持主流的第三方EDA工具,如:Synopsys、Cadence、ViewLogic、Synplicity、Mentor和Model Technology等等。

②提供完善的功能仿真,同时还提供精确的时间仿真。

③该系统把设计输入、功能仿真、时间仿真、设计编译以及器件编程集成于统一的开发环境下,从而更方便、更快捷。

④ MAX+plusⅡ开发系统还具有编译快捷,设计实现优化的功能。

4.1.1 MAX+plusⅡ10.2的安装

1)安装MAX+PLUSII10.2的软硬件要求

MAX+PLUSII PC版可以在以下几种操作系统下运行:Windows NT 4.0/Windows 98/Windows 2000/Windows XP。表4.1中列出了用于不同器件所需的有效内存。

下面介绍MAX+PLUSII PC版在Windows 98操作系统下的安装过程。若在其他操作系统下安装,可参照此方法操作。

(1)将MAX+PLUSII10.2安装光盘放入光驱,安装窗口将自动出现图4.1所示的界面。

图4.1 MAX+PLUSII 10.2安装界面

你可以选择MAX+PLUSII 10.2安装类型如下:Custom、BASELINE、Programmer Only和ACCESS Key Guidelines。开始安装之前,你还可以阅读Help文件、Read Me文件、License Agreement 文件和ACCESS Key Guidelines。单击任一安装选项,即开始安装。安装过程中按照屏幕上的提示进行操作。

(2)安装好后,双击MAX+plusII图标或在“开始”菜单内选择MAX+plusII项,开始运行MAX+plusII。第一次运行MAX+plusII时,将会出现授权协议对话框,仔细阅读后,按下Yes按钮。

(3)系统若没有安装Software guard(软件狗),则在接受授权协议后,将出现如图4.2所示的MAX+plusII版权保护对话框,若希望查看如何获得License的信息,则单击按钮‘是(Y)’,否则单击按钮‘否(N)’。

4.2 MAX+plusII版权保护对话框

(4) 选择菜单命令Option/License Setup,则弹出License Setup对话框,如图4.3所示。单击System Info 按钮,弹出System Info对话框,记录硬盘的驱动序列号,然后通过访问Altera公司的网站:获得授权文件license.dat。

图4.3 License Setup对话框

(5) 收到Altera公司的授权文件license.dat后,将license.dat复制到MAX+plusII的安装目录,打开MAX+plusII,按照步骤(4)打开License Setup对话框,在“License File or Server Name”对话框中填入license.dat文件的路径及文件名,单击OK按钮。至此,MAX+plusII安装完毕。

4.1.2 MAX+plusⅡ开发系统设计入门

MAX+plusⅡ开发系统的工程管理器集成了开发Altera公司生产的各种器件的全部功能,主要包括工程项目管理、设计输入、功能仿真、时序仿真、设计约束等等。MAX+plusⅡ开发系统的工程管理器的用户主界面如图4.4所示。在“MAX+plusⅡ”菜单下给出了MAX+plus Ⅱ软件的主要功能,各项的具体含义如下:

·Hierarchy Display:显示当前项目层次图。

·Graphic Editor:原理图编辑器。

·Symbol Editor:图形符号编辑器。

·Text Editor:文本编辑器。

·Waveform Editor:波形编辑器。供仿真阶段用,或用于编辑波形图输入文件。

·Floorplan Editor:引脚平面编辑器。可以观察编译后器件内的资源,如逻辑单元、I/O单元、LAB行或列以及引脚。

·Compiler:编译窗口。

·Simulator:仿真窗口。

·Timing Analyzer:定时分析窗口。

·Programmer:器件编程(下载)窗口。

·Message Processor:信息窗口。给出处理过程中的一些系统信息。

MAX+plusⅡ的设计过程主要由设计输入、项目编译、项目校验和器件编程四部分组成。

图4.4 MAX+plus II主界面

1)设计输入

(1)建立设计输入文件

我们先以原理图文件输入方式为例进行介绍:

①为本项目工程设计建立文件夹

任何一项设计都是一项工程(Project),最好为此项工程单独建立一个文件夹以存放与此工程相关的所有文件。假设本项设计的文件夹取名为myproject,路径为:c:\baseline\myproject。

②打开MAX+plusⅡ,选择菜单命令File/New,弹出新文件对话框(New),如图4.5所示。

图4.5 新文件对话框

③在新文件对话框(New)中,选择图形编辑器,单击OK按钮,弹出一个无名称的图形编辑窗口,此时界面将转变为图形编辑器菜单,如图4.6所示。在图形编辑器的左侧为绘图工具,其功能见图4.6的标注。

视频会议系统操作说明

视频会议系统 简 易 操 作 说 明 一、本地PPT 演示(使用自带笔记本): 1)按投影机遥控器“POWER”键,开启投影机; 2)按投影幕遥控器“下”,把投影幕降落; 3)将笔记本电脑与墙面插连接,并将笔记本电脑的外接方式选择为“扩展”或者“复制“,分辨率设置为1024×768;

4)根据需要关闭不需要的灯光; 5) 投影机输入选择“computer 1”; 6)PPT演示完毕后,按投影机遥控器“ON/OFF”按钮,关闭投影机,按投影幕墙面开关“上”,把投影幕回升。若要关闭系统电源,请将插座电源断掉 二、本地PPT 演示(使用一体触摸屏): 1)按投影机遥控器“POWER”键,开启投影机; 2)按投影幕遥控器“下”,把投影幕降落; 3)按电视机遥控器“电源”键,开启电视机, 4)按电视机右边电脑的电源按键,启动电视自带的电脑; 5)墙面插断开与其他电脑的连接; 6)根据需要关闭不需要的灯光; 7) 投影机输入选择“computer 1”;电视机输入选择“电脑”,这时候电视机和 投影机显示的是相同的图像画面,这样使用电视机内置电脑进行PPT演示;8)PPT演示完毕后,按投影机遥控器“ON/OFF”按钮,关闭投影机;按投影幕墙面开关“上”,把投影幕回升;关闭操作系统,最后关闭电视机。若要关闭系统电源,请将插座电源断掉 三、召开视频会议 1)启动宝利通视频终端按遥控器“电源“按钮,此时宝利通视频终端指示灯闪烁,摄像机复位,120秒左右终端启动成功,指示灯长明; 2)启动电视机按电视机遥控器“电源“按钮,启动电视机,电视机启动后,左电视选择“HDMI 1”输入; 3)启动投影机投影机遥控器“POWER”键开启投影,机投影机输入选择“HDMI 1”; 4)呼叫远程从主屏幕选择“拨打电话”,或在遥控器上输入号码,后按遥控

maxplus2简明教程一

第一章 MAX+plus II开发软件A1tera公司的MAX十plus II开发系统是一个完全集成化、易学易用的可编程逻辑设 计环境,它可以在多种平台上运行。MAX十plus是Multiple array matrix and programmable logic user system的缩写,目前已发行到了第10.1版本。它所提供的灵活性和高效性是无可比拟的,其丰富的图形界面,辅之以完整的、可即时访问的在线文档,使设计人员能够轻松、愉快地掌握和使用Max十plus II软件。 1.1MAX+plus II功能特点 1、开放的界面 Altera的工作与EDA厂家紧密结合,使MAX+plus II软件可与其它工业标准的设计输入、综合与校验工具相连接。设计人员可以使用A1tera或标准EDA设计输入工具来建立逻辑设计,使用MAX十plus II编译器(Compiler)对A1tera器件设计进行编译,并使用A1tera 或其它EDA校验工具进行器件或板级仿真。目前,Max十plus II支持与Cadence、Exemplarlogic、MentorGraphics、Synopsys、Synplicity、Viewlogic和其它公司所提供的EDA 工具的接口。 2、与结构无关 MAX十plus II系统的核心Compiler支持Altera公司的ACEX1K、FLEXl0K、FLEX 8000、FLEX 6000、Max 9000、Max 7000、Max 5000和C1assic可编程逻辑器件系列,提供了业界唯一真正与结构无关的可编程逻辑设计环境。MAX十plus II的编译器还提供了强大的逻辑综合与优化功能,使用户比较容易地将其设计集成到器件中。 3、多平台 Max十plus II软件可在基于486、奔腾PC机的Windows NT 3.51或4.0、Windows 95、Windows 98、Windows2000下运行,也可在Sun SPAC Stations,HP 9000Series 700/800和IBM RISC System/6000工作站上运行。 4、完全集成化 MAX十plus II的设计输入、编译与仿真功能全部集成在统一的开发环境下,这样可以加快动态调试,缩短开发周期。 5、丰富的设计库 MAX十plus II提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑宏功能(Macro-Function)以及新型的参数化的宏功能(Mage-Mnction)。调用库单元进行设计,可以大大减轻设计人员的工作量,也可成倍地缩短设计周期。 6、模块化工具 设计人员可以从各种设计输入、编译和仿真选项中进行选择,从而使设计环境用户化,必要时,还可根据需要添加新功能。由于MAX十plus II支持各种器件系列,设计人员不必学习新工具即可支持新结构。 7、硬件描述语言(HDL) Max + plus II软件支持各种HDL设计输入选项,包括VHDL、Verilog HDL和Altera 自己的硬件描述语言AHDL。 8、Megacore功能 Megacore功能是为复杂的系统级功能提供的,经过校验的HDL网表文件,它能使ACEX1K、FLEX10K、FLEX 8000、FLEX 6000、MAX 9000和MAX 7000器件系列实现

分子生物学实验室常用仪器及使用方法

实验指导 目录 实验一分子生物学实验室常用仪器及使用方法实验二质粒DNA的提取-碱裂解法 实验三琼脂糖凝胶电泳 实验四限制性内切核酸酶的酶切与鉴定 实验五大肠杆菌感受态细胞的制备及转化 实验六动物组织细胞基因组 DNA提取 实验七 DNA的定量 实验八 PCR基因扩增 实验九琼脂糖凝胶电泳分离与纯化目的DNA 实验十 DNA重组 实验十一动物组织细胞总RNA的提取 实验一分子生物学实验室常用仪器及使用

事实证明,在科学飞速发展的今天,无论从事哪个领域的研究,要想突破,除了有良好的理论基础外,更重要的是依赖于先进的技术和优良的仪器设备以及良好的研究环境。一个标准的分子生物学实验室除了具有一般生物学实验室的常规仪器设备外,还具有一些特殊用途的仪器,这些仪器一般较精密,价格昂贵。下面介绍这些仪器的使用方法和注意事项。 一、冷冻离心机 低温分离技术是分子生物学研究中必不可少的手段。基因片段的分离、酶蛋白的沉淀和回收以及其它生物样品的分离制备实验中都离不开低温离心技术,因此低温冷冻离心机成为分子生物学研究中必备的重要仪器。在国内,有多个厂家生产冷冻离心机,本实验室的高速冷冻离心机为GL-20G-Ⅱ型(上海安亭),落地式。配有角式转头:6×50ml、12×10ml和12×1.5ml。极限转速20000rpm。 1. 安装与调试 离心机应放置在水平坚固的地面上,应至少距离10cm以上且具有良好的通风环境中,周围空气应呈中性,且无导电性灰尘、易燃气体和腐蚀性气体,环境温度应在0~30℃之间,相对湿度小于80%。试转前应先打开盖门,用手盘动转轴,轻巧灵活,无异常现象方可上所用的转头。转子准确到位后打开电源开关,然后用手按住门开关,再按运转键,转动后立即停止,并观察转轴的转向,若逆时针旋转即为正确,机器可投入使用。 2. 操作程序 (1)插上电源,待机指示灯亮;打开电源开关,调速与定时系统的数码管显示的闪烁数字为机器工作转速的出厂设定,温控系统的数码管显示此时离心腔的温度。 (2)设定机器的工作参数,如工作温度,运转时间,工作转速等。 (3)将预先平衡好的样品放置于转头样品架上,关闭机盖。 (4)按控制面板的运转键,离心机开始运转。在预先设定的加速时间内,其运速升至预先设定的值。 (5)在预先设定的运转时间内(不包括减速时间),离心机开始减速,其转速在预先设定的减速时间内降至零。 (6)按控制面板上的停止键,数码管显示dedT,数秒钟后即显示闪烁的转速值,这时机器已准备好下一次工作。 3. 注意事项 (1)离心机应始终处于水平位置,外接电源系统的电压要匹配,并要求有良好的接地线,机器不使用,要拔掉电源插头。

HE石蜡切片步骤,免疫组化步骤

HE染色 1.取材 切取的组织块不宜太大,以利于固定剂穿透,通常以6mm×6mm×5mm为宜。 注意事项: (1)取材动作要迅速,不宜作太久的拖延以免组织细胞的成分、结构等发生变化。 (2)切片材料应根据需要观察的部位进行选,尽可能不要损伤所需要的部分。 2.固定 将切好的组织用生理盐水组织洗3次,立即投入10%中性福尔马林固定液或4%多聚甲醛中固定,固定36小时。 注意事项: (1)一般固定液,都以新配为好,配好后应贮存在阴凉处,不宜放在日光下,以免引起化学变化,失去固定作用。 (2)有些混合固定液的成份之间会发生氧化还原作用,一定要在使用前才混合,如果混合太早,固定时就没有作用了。 (3)固定材料时,固定液必须充足,一般为材料块的20~30倍,有些水分多的材料,中间应更换1-2次新液。 (4)材料固定完毕后,保存于严密紧塞或加盖的容器里,同时在容器外上标签,并随同材料在溶液中投入相应的标签,以免相互混淆。标签上注明固定液、材料来源、日期等。标签上的文字,应用黑色铅笔或绘图黑墨水书写。 脱钙72小时中间不换液是样本30倍中杉金桥(进口脱钙液) 镊子触质粒由硬变韧性为准。 (美):固定3-5天根据组织大小确定天数,越大固定时间越长。 3. 洗涤 材料经固定后,PBS或双蒸水冲洗约3小时。(20,30,30,60) (美):流水冲洗约4小时 4. 脱水 材料依次经80%、90%、100%、100%各级乙醇溶液脱水,各30min 注意事项: (1)脱水必须在有盖的玻璃品中进行,防止吸收空气中的水分。 (2)在更换高一级的脱水剂时,最好不要移动材料以免损坏,可用吸管吸出器皿中的脱水剂,再用吸水吸尽器皿内剩余液,然后于皿中加入高一级脱水剂。 (3)在低浓度酒精中,每级停留不宜太长,否则易使组织变软,助长材料的解体。 (4)在高浓度或纯酒精中,每级停留的时间也不宜太长,否则会使组织变脆,影响切片。(5)如需过夜,应停留在80%酒精中。 (6)脱水必须彻底,否则不易透明,甚至使透明剂内出现白色混浊现象 (美):80%的酒精×2小时 95%的酒精×2小时 100%的酒精×2小时×2 100%的酒精×1小时或者更长时间 5.透明(环保透明脱蜡液)中杉金桥 纯酒精、二甲苯等量混合液15min,二甲苯Ⅰ60min、Ⅱ30min(至透明为止)。 由于乙醇与石蜡不相溶,而二甲苯既能溶于乙醇又能溶于石蜡,所以脱水后还要经过二甲苯以过渡。当组织中全部被二甲苯占有时,光线可以透过,组织呈现出不同程度的透明状态。

MCU视频会议操作手册

目录 1视频会议开局调试内容 ....................................... 错误!未定义书签。 系统组网图................................................ 错误!未定义书签。 准备会议参数.............................................. 错误!未定义书签。 规划IP地址............................................... 错误!未定义书签。 规划通信参数.............................................. 错误!未定义书签。 配置MCU8650 ............................................. 错误!未定义书签。 配置8650与RM的相关参数.................................. 错误!未定义书签。 配置8650与SC(GK)相关参数 .............................. 错误!未定义书签。 配置RM数据............................................... 错误!未定义书签。 添加区号.................................................. 错误!未定义书签。 添加服务区................................................ 错误!未定义书签。 添加MCU 8650 ............................................. 错误!未定义书签。 添加会场.................................................. 错误!未定义书签。 配置SM数据............................................... 错误!未定义书签。 登陆SM ................................................... 错误!未定义书签。 添加SC ................................................... 错误!未定义书签。 添加MCU节点.............................................. 错误!未定义书签。 召开会议.................................................. 错误!未定义书签。 定义会议.................................................. 错误!未定义书签。 调度会议.................................................. 错误!未定义书签。 结束会议.................................................. 错误!未定义书签。2安装RMCC多点资源管理中心软件............................... 错误!未定义书签。 安装RM ................................................... 错误!未定义书签。 配置中的数据库参数........................................ 错误!未定义书签。 安装后检查................................................ 错误!未定义书签。 启动系统服务.............................................. 错误!未定义书签。 刷新L ICENSE ............................................... 错误!未定义书签。3安装SC&SM多点控制管理中心软件.............................. 错误!未定义书签。 安装S WITCH C ENTRE........................................... 错误!未定义书签。 安装S WITCH M ANAGER .......................................... 错误!未定义书签。 配置系统参数.............................................. 错误!未定义书签。 配置SwitchCentre系统参数................................. 错误!未定义书签。 配置SwitchManager系统参数................................ 错误!未定义书签。 启动系统服务.............................................. 错误!未定义书签。 刷新L ICENSE ............................................... 错误!未定义书签。4MCU VIEWPOINT 8650维护指南................................. 错误!未定义书签。 登录V IEWPOINT 8650 ......................................... 错误!未定义书签。 V IEWPOINT 8650内部命令..................................... 错误!未定义书签。 系统设置命令.............................................. 错误!未定义书签。 系统查询类命令............................................ 错误!未定义书签。

基于MAXPLUSii的数字钟实验(含源程序代码)

源程序与仿真图 CNT24 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt24 is port(clk,rst:in std_logic; co:out std_logic; qh,ql :out std_logic_vector(3 downto 0)); end cnt24; architecture behave of cnt24 is signal tmpl,tmph:std_logic_vector(3 downto 0); begin process(rst,clk) begin if(rst='1') then tmpl<="0000";tmph<="0000";co<='0'; elsif(clk'event and clk='1')then if(tmpl=9)then tmpl<="0000";tmph<=tmph+1; else tmpl<=tmpl+1; if(tmph=2) then if(tmpl=3) then tmpl<="0000";tmph<="0000";co<='1'; else tmpl<=tmpl+1;co<='0'; end if; end if; end if; end if; ql<=tmpl; qh<=tmph; end process; end behave; CNT60 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt60 is port(clk,rst:in std_logic; co:out std_logic; qh,ql :out std_logic_vector(3 downto 0)); end cnt60; architecture behave of cnt60 is signal tmpl,tmph:std_logic_vector(3 downto 0); begin process(rst,clk) begin if(rst='1') then tmpl<="0000";tmph<="0000";co<='0'; elsif(clk'event and clk='1')then if(tmpl=9)then tmpl<="0000"; if(tmph=5) then tmph<="0000";co<='1'; else tmph<=tmph+1;co<='0'; end if; else tmpl<=tmpl+1; co<='0'; end if; end if; ql<=tmpl; qh<=tmph; end process; end behave; DIV1000 Library IEEE; Use IEEE.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Use IEEE.std_logic_arith.all; Entity div1000 is Port( clk: in std_logic;--from system clock(1KHz) f1hz: out std_logic);-- 1Hz output signal end div1000; architecture arch of div1000 is signal count : integer range 0 to 499;--count from 0 to 499-local si signal temp:std_logic; begin

微生物实验操作步骤

微生物试验操作步骤 1.前期准备工作(红色字体需要购买) 10ml离心管(80管)、培养皿(预实验36板,正式试验648板,共计684板)、EP管(预实验36管,正式试验108管,144管)、枪头(5ml、1ml、200ul)、生理盐水现配现用(0.85)2.,灭菌处理 将离心管、枪头、生理盐水、培养基放入高压灭菌锅中灭菌处理后待用。 3.制备不同梯度的样品溶液 预实验 a.梯度稀释试验前一天晚上取置于-80℃盲肠食糜样品于4℃冰箱融化,将需要用到的离心管和EP管分别编号待用。试验期间取盲肠食糜0.5~1g于灭菌后的10ml离心管中,按1:10比例加入生理盐水,制成10-1浓度的样品溶液。然后取0.5ml10-1浓度的样品溶液于下一离心管,按1:10比例加入生理盐水,制成10-2浓度的样品溶液。然后然后取0.1ml10-2浓度的样品溶液于EP管中,按1:1010-3比例加入生理盐水,制成10-3浓度的样品溶液。然后依次如上分别配制10-4、10-5、10-6、10-7、10-8样品溶液。每一次取样前离心管和EP管都要在微型振荡器上震荡混匀。 b.接种和培养:按照平板涂布法进行。分别取各稀释管溶液100μl接种到选择性培养基,大肠杆菌选择性培养基置普通培养箱,37℃培养24h。乳酸菌选择性培养基置5%CO2培养箱,37℃培养48h。双歧杆菌选择性培养基置厌氧发酵罐内,37℃培养48h。沙门氏菌选择性培养基置普通培养箱,37℃培养24h。 c. 微生物计数与鉴定:采用常规微生物平板菌落计数法,选择长有30-300个菌落的平板较为合适,用每克肠道内容物中细菌个数的对数表示( 1gCFU /g) 正式试验 按照预实验操作步骤及适宜梯度进行试验。 4.培养基 总需氧菌营养琼脂(NA)34567 乳酸菌MRS琼脂碱性厌氧234567 双歧杆菌BL琼脂厌氧234567产气袋 大肠杆菌麦康凯需氧234567 沙门氏菌XLD 需氧2345

MAX+plusII的基本用法

实验一MAX+plusII的基本用法 一、实验目的 掌握MAX+plusII的基本用法,了解EDA的设计流程。 二、实验步骤 建立和编辑一个VHDL或者硬件描述语言的工程文件,是数字系统或逻辑电路设计的第一步。下面以8—3译码器设计为例,叙述一下在MAX+ plusII系统中如何来完成一个工程文件的步骤,了解软件的基本使用方法。 1、建立工程目录 建立一个工程目录的主要目的是为了便于管理在系统编译、综合的过程中产生的许多临时文件和附属文件。在Windows操作系统中你想存放该工程的位置建立如:D:\max\test1\等工程目录来存放这个工程所生成的所有文件。通过Windows系统的“开始”菜单进入MAX+plusII集成环境。如图1—1所示: 图1—1 2、建立一个新文件 在图3—1点击“File”选项,如果输入的是新文件,点击子菜单中的“New”出现如 图3—2所示的对话框。在对话框内可供选择的4种编辑 方式为:Graphic Editor file为图形编辑;Symbol Editor file 为符号编辑;Text Editor file为文本编辑;Wavetorm Editor file为波形编辑。 如果用VHDL语言来编辑文件则选择文本编辑, 选取图1—2所示第三项,当该项字符被虚线框框住,并 在该项前面的圆圈中出现一个实心点时,表时该项已被选 中,点击“OK”系统将进入文本编辑状态,屏幕将会出现图1—2 如图1—3所示的编辑窗口。 图1—3

在图3—3所示的编辑窗口中输入你编写的程序,如下列的一串VHDL语句: LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL; ENTITY T1 IS PORT( S: IN STD_LOGIC_VECTOR(7 DOWNTO 0); Y: OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END T1; ARCHITECTURE A OF T1 IS BEGIN WITH S SELECT Y <= "0000" WHEN "11111110", "0001" WHEN "11111101", "0010" WHEN "11111011", "0011" WHEN "11110111", "0100" WHEN "11101111", "0101" WHEN "11011111", "0110" WHEN "10111111", "0111" WHEN "01111111", "1000" WHEN OTHERS; END A; 对程序进行保存,点击图1—1中图标第 三项,或者点击“File”菜单中的“Save”图1-4 或“Save as”会弹出Save as对话框如图1—4所示。将文件保存为t1.vhd,其所在目录 为以前所设置的工程目录。由VHDL语言的 设定,文件名必须与程序内容里的文件名 一致。 如果想对文件进行修改、编辑或一个文件 已经存在对其进行编辑、修改,可以通过“File” 菜单中的“Open”子菜单会出现如图1—5所 示的对话框选取想修改、编辑的文件进行操 作。 3、选取芯片型号 由于编译、综合结果要生成适用于写可编程器 件的文件,所以在编译以前应选定最终要下载 的芯片型号。在MAX+plusII的工具中,可以 支持的下载芯片有多种,根据所设计的逻辑电 路规模,用户可以自由地进行选择。图1—5 点击主菜单的Assign选项,再点击子菜单中的Device项得到Device对话框,如图1—6所示,利用Device Family框中的上移和下移标志,找到适用的芯片系列。在Device框中选择所需用的芯片型号,点击“OK”确定。图1—6选取的是FLEX10K10系列中的EPF10K10LC84-4。

生物实验操作步骤

生物实验操作步骤 一、安装显微镜和对光: A 、操作步骤: 1.一手握住镜臂,一手托住镜座,把显微镜轻轻地放在实验台上,镜臂靠近身体略偏左,镜座距实验台边缘约5厘米。安装好目镜和物镜。 2.转动转换器,使低倍物镜对准通光孔。转动遮光器,使最大的光圈对准通光孔。 3、左眼注视目镜内,同时双手转动反光镜(光强用使用平面镜、光弱使用凹面镜),使光线反射到镜筒里,直到整个视野呈雪白色为止。 4.整理复位:把显微镜的外表擦拭干净。取下镜头放入镜盒内,并将镜筒缓缓下降到最低处。最后把显微镜放进镜箱里,放回原处。 B 、去年考卷: C 、评分标准: (1)安装好物镜和目镜(1分) (2)能将显微镜对好光观察(2分) 记录:雪白色或亮白色(1分) (3)整理器材(1分) 二、制作并观察洋葱鳞片叶临时玻片标本: A 、操作步骤: 1、用干净的纱布把载玻片和盖玻片擦干净。 2、用滴管在载玻片中央滴一滴清水。 3、用刀片在洋葱内表面划一个“井”字,用镊子撕下表皮,然后把它放在载玻片中央的水滴中,用解剖针轻轻地将其展平; 4、用镊子夹起盖玻片,使其一边接触载玻片上面的液滴,然后缓缓地盖在液滴上,盖片时要防止装片上出现气泡; 5、在载玻片的一侧滴一滴碘液,在另一侧用吸水纸吸引,重复几次,使染液浸润到整个标本; 6、安装显微镜和对光; 7、将制作的装片安放在显微镜的载物台上,然后将镜筒缓缓下降直到物镜接近玻片; 8、用左眼注视目镜,调节粗准焦螺旋使镜筒缓缓上升,直到在视野中看到细胞图像,然后旋转细准焦螺旋,使物像更清晰; 9、移动装片,在视野中找到一个完整的细胞进行仔细观察; 10、整理复位:取下玻片标本,平移方式(防止折断盖玻片)取下盖玻片并连同载玻片一起放回原处。取下镜头放入镜盒内,将镜筒下降到最低处,然后把显微镜放进镜箱里。把其他废弃物放入垃圾桶并把实验桌抹干净。 B 、去年考卷: C 、评分标准: (1)用纱布将载玻片、盖玻片擦拭干净(1分) (2)在载玻片中央滴一滴清水(1分) (3)用刀片切取一块洋葱鳞片叶,用镊子撕取鳞片叶的内表皮置于载玻片上清水中并用解剖针将表皮展平,盖上盖玻片(1分) (4)将一滴碘液滴在盖玻片的一侧,用吸水纸从对侧引流使碘液扩散到整个标本(1分) (5)将制作好的临时装片放在显微镜下观察(1分) 记录:气泡(1分) 细胞核(1分) 细准焦螺旋(1分) 左上方(1分) (6)整理器材(1分) 三、制作并观察口腔上皮细胞临时玻片标本: A 、操作步骤: 1、用干净的纱布把载玻片和盖玻片擦干净; 2、用滴管在载玻片中央滴一滴生理盐水; 3、用清水漱口,清除口腔中食物碎屑,用消毒牙签粗的一端在口腔侧壁上轻轻刮几下; 4、将牙签上附着的碎屑放在载玻片的生理盐水中涂抹几下; 用镊子夹起盖玻片让一侧先接触生理盐水在轻轻放平,避免出现 。 你观察到的细胞内染色最深的结构是 如果想让物像更清晰,应转动 。如果物像在视野的左上方,应将玻片标本向 移动,才能使物像移到视野中间。

操作手册产品使用说明

JBKL型燃烧器PVC全自动 操作手册 大庆国科盛鑫节能环保设备制造有限公司 前言 我国是全世界自然资源浪费最严重的国家之一,在59个接受调查的国家中排名第56位。另据统计,中国的能源使用效率仅为美国的26.9%,日本的11.5%。为此,近年来我国推行了多项节能减排政策措施。目前,为了实现“十一五”规划中确定的单位GDP能耗降低20%的目标、主要污染物排放总量减少10%的约束性指标,国务院发布了继续加强节能工作的决定,节能减排工作迫在眉睫。 在举国重视节能减排工作的大形势下,我公司自主创新,目前已经自主研发9项国家专利技术,全部是节能减排燃油燃气燃烧器技术。我公司发展势头强劲,不断创新探索,为全国节能减排事业做出自己应有的责任。 我公司研发节能减排燃烧器过程中发现,目前小型取暖锅炉普遍使用的国内外燃烧器采用的程序控制工艺是:锅炉出口水温度到达给定值上限后,电磁阀关闭,炉灭火。锅炉出口水温度降到下限时锅炉重新启动,送风机进行3-5分钟炉膛扫线,这时大量冷风进入炉膛里,把炉膛温度大幅降下来,扫完炉膛后,重新喷燃气点火升温,这样消耗燃气量增加。因此我公司燃烧器程序控制是采用自动调节阀来控制燃气喷大小量,锅炉出口水

温平稳,安全运行,提高节能减排数据。 JBKL型燃气燃烧器的设计说明 JBKL型燃烧器主要是针对目前燃气燃烧器喷咀存在的问题而设计的。存在的问题是: 1、目前国内外使用的燃气喷咀是直线喷燃气方式,国际上燃烧器技术较发达的意大利、法国、德国等国家的相关技术也是直线喷燃气方式。燃气是靠自身压力通过燃气喷咀直线喷入炉膛里的,燃气压力而产生的冲力使燃气与空气在推进的一段距离内不容易混合好,因此燃气在逐步扩散中与空气边混合边燃烧,这样炉膛内的火型长,高温度热量停留在炉膛内的受热时间短,使排烟温度升高,导致热效率降低。当加负荷增加燃气压力时冲力增大,烟气在炉膛内的流速加快,排烟温度迅速升高,热效率更低。 2、目前油田加热炉、炼油厂加热炉使用的配风器都是配直流风方式,直流风和燃气混合时出现各走各的现象,完全燃烧所需要的时间长,需要大量的配风才能满足燃烧,在运行时高温度烟气向前的推动力很大,当加负荷加大配风量时,推动力更大,这是加热炉热效率低的重要因素。 针对这样的问题,我们紧紧抓住安全运行、稳定燃烧、快速完全燃烧、配备最佳空气、控制最佳烟气流速和提高炉热效率的关键因素,对锅炉燃烧器相关的结构和部位进行研究和开发,并采取了以下几点措施: 1、燃气压力设计在燃气喷枪管内,运行时燃气冲力产生真空度,利用这个动力把空气吸进来,燃气和空气提前有效地混合,缩短了燃烧的过程和时间,喷出的混合气体立即迅速燃烧,高温度的能量停留在炉膛内的时间长,排烟温度低,提高热效率。

maxPLUS2 设计步骤

设计一般步骤 步骤1:为本项工程设计建立文件夹(自己的U盘且不是根目录); 注意:文件夹名以字母开头不能用中文,且不可带空格。 步骤2:新建设计文件并指向项目 1)菜单File=>New =>对话框中选择=>文本输入(扩展名.vhd)或原理图输入(扩展名.gdf)然后命名(以字母开头不能用中文,且不可带空格); 2)工程项目指向当前的设计文件:菜单File=>Project=>Set Project To Current File 然后选择此项; 步骤3:选择实现器件,放置原理图器件、连线 1)根据所用的实现器件型号(芯片背面)选择:菜单Assign => Device => 对话框中去掉“Show Only Fatest Speed Grades”复选框,实验室所用芯片为EP1K100QC208-3,所以,在DEVICE FAMILY 中选择ACEX1K ,DEVICE 中选择EP1K100QC208-3,选择后点击OK。 2)在原理图编辑界面,空白处右击鼠标=>选Enter Symbol 在出现的对话框中Symbol Name处输入器件代码或打开Symbol Libraries库中mf目录查找器件代码,所选择芯片出现在原理图编辑界面空白处,调整好位置和方向(Windows文档处理方法)。依此放置其他器件:输入管脚input,输出管脚output(需要重新命名:双击管脚再重命名):电源正极Vcc,电源地Gnd等。 3)鼠标左键点击连线起点,拖动到连线终点即可连接,中途改变方向需要在拐弯处松开鼠标左键一次,再拖动连线。 步骤4:编译并纠错 菜单MAX+PlusⅡ=>Compailer编译,有错误时,会出现在信息框中,纠错后再编译直到在编译信息中显示0 Error,有部分Warning不影响编译成功。 步骤5:锁定引脚(该步可省略,由EDA自动分配,但重编译后管脚号可能变动) 菜单Assign=> PIN/Location/Chip,出现的对话框中Node Name栏中直接输入管脚名或单击SEARCH按钮=>出现的对话框中单击LIST按钮=>在NAME IN Database列表中选择欲锁定的端口名,点击OK返回前一对话框。CHIP RESOURSE栏中,选PIN,在左侧下拉列表中点击黑三角选择管脚号,点击ADD按钮,重复以上过程锁定所有引脚,最后点击OK完成锁定。还可以用此步骤改变引脚号。 步骤6:建立仿真文件并设置仿真环境(自信功能正确,步骤6~8可以省去)

外卖产品操作流程及标准 (1)

百度外卖产品操作操作流程 接单: 1:平台会提前一小时下单,(前期是电话下单,后期会接入点餐系统)门店在接单后制作产品,对产品按计量包装好后放入制定位置,平台骑手会在规定的时间进行取餐 2:后厨在接单后15分钟内必须出完所有产品,打包好后装入食品袋放入门店指定的位置。 产品范围: 6种锅底:菌王糊辣鸳鸯锅、菌王柠檬鸳鸯锅、菌王酸汤鸳鸯锅、菌王鲜辣鸳鸯锅、菌王养颜木瓜鸳鸯锅、菌王滋补鸳鸯锅、菜单上除果汁与果酒所有产品。产品标准: 菌王糊辣鸳鸯锅 1:配制好的成品菌汤,包装规格900克/袋,(含香菇片,鸡油,配制标准同现有的菌汤标准) 2:糊辣底料一袋,包装规格900克/袋(含配糊辣锅的辅料,如子弹头,灯笼椒,醪糟,冰糖等,搅拌均匀装袋,标准和现有的标准一样)

菌王柠檬鸳鸯锅 1:配制好的成品菌汤,包装规格900克/袋,(含香菇片,鸡油,配制标准同现有的菌汤标准) 2:柠檬底料一袋,包装规格900克/袋,(含香茅草、柠檬叶、鲜红小米辣、搅拌均匀装袋、配制标准同现有的柠檬锅底标准) 菌王酸汤鸳鸯锅 1:配制好的成品菌汤,包装规格900克/袋,(含香菇片,鸡油,配制标准同现有的菌汤标准) 2:配制成品酸汤900克(含香茅草、木姜子油、番茄片、香菜段,搅拌均匀装袋、配制标准同现有的酸汤锅底标准) 菌王鲜辣鸳鸯锅 1:配制好的成品菌汤,包装规格900克/袋,(含香菇片,鸡油,配制标准同

现有的菌汤标准) 2:鲜辣底料一袋,包装规格900克/袋(含鲜辣底料,高汤,泡小米辣,姜片,大葱,搅拌均匀装袋、标准和现有的鲜辣锅底标准一样) 菌王金汤鸳鸯锅 1:配制好的成品菌汤包装规格900克/袋,(含香菇片,鸡油,配制标准同现有的菌汤标准) 2:金汤锅底一袋,包装规格900克/袋(含,金汤底料,木瓜,枸杞,党参,当归,大枣,搅拌均匀装袋,标准和现有的金汤锅底标准一样) 菌王滋补鸳鸯锅 1:配制好的成品菌汤,包装规格900克/袋,(含香菇片,鸡油,配制标准同现有的菌汤标准) 2:配制好的成品滋补汤,包装规格900克/袋,(姜片,大枣,枸杞,鸡油,配制标准同现有的滋补锅标准一样) 打包器皿:

石蜡切片详细步骤

石蜡切片 1.仪器 石蜡切片机、烘箱、显微镜、染色缸、小培养皿、镊子、毛笔、吸水纸、纱布、载玻片、盖玻片等。 2. 试剂 FAA固定液(70%酒精90ml、冰醋酸5ml、福尔马林5ml)、10%番红水溶液、0.5%固绿(用95%的酒精配制)、酒精(100%、95%、80%、70%、50%)、二甲苯、蒸馏水、甘油、中性树胶等。 3.取材 幼嫩的油菜植株叶片和茎 4.方法与步骤(参照) 1.固定: FAA固定液固定48小时以上。 2.脱水: 50%酒精→70%酒精→83%酒精→95%酒精→100%酒精→100%酒精。每级2h。100%酒精中1.5h。其中70%酒精处可长期保存。 3.透明: 1/2二甲苯+1/2无水乙醇 (2h) →纯二甲苯(1.5h) →纯二甲苯(1.5h) 4.浸蜡: 将处理的材料置于1/2石蜡(固体粉末状)+1/2二甲苯中,40℃烘箱敞口过夜。 5.包埋: 先提升恒温箱的温度至60℃,换纯蜡3次,每次1-2h,用硬的电光纸、牛皮纸叠纸盒,置于45-60℃的烫板上,倒入材料,摆放好材料,把标签(正面向外置于底部),补足石蜡,倒好后轻轻的置于冷水盆中,注意底面要接触盆中凉水,待石蜡全部凝固后可取出晾干,也可在凉水盆中放置过夜。 6.切片 对包埋的材料进行修块、粘块、整修后,保证材料四周都有石蜡包围。但不可太多。切面的上、下边平行。用加热的解剖刀蘸取少许石蜡碎屑,并迅速将石蜡块四周的碎屑烫平,使石蜡块牢固地粘在台木上。检查切片机,安装切片刀、调整好刀的角度,调整石蜡块与刀口之间的角度与位置后开始切片。

7.粘片 将粘贴剂置簿玻片上,再取切片浮置粘片剂上,然后置烘片台上,使切片展开烫平,材料不现皱纹为度。最后将切片依次排好,用滤纸吸去多余水分,同时以记号笔在玻片上编号,放入温箱中烘干,温度30~40℃中过夜。 8.脱蜡 脱蜡用二甲苯,把烤好的载玻片放于盛二甲苯的染缸中:纯二甲苯(10-20min)→纯二甲苯(5-10min) 9.染色 将纯二甲苯脱蜡完全的材料,1/2 二甲苯十1/2纯酒精→100%酒精→95%酒精→85%酒精→70%酒精→50%酒精→1%番红染色(4h以上) →50%酒精→70%酒精→85%酒精→95%酒精→0.5%固绿(1min)→95%酒精→100%酒精→100%酒精(未注明时间各级均为3min) 10.胶封 滴加加拿大树胶封藏。 11.镜检,拍照

视频会议系统简易操作手册

陵县电力局视频会议系统简易操作手册 此手册为简易操作手册,针对初次使用的对此设备不了解的用户,在需要建立会议的时候,开启总电源(机柜内插线板上),检查设备是否都正常启动,机柜内设备指示灯是否闪烁,(机柜内有三台设备蓝色长盒为交换机、灰色竖立设备为b5视频终端、黑色小盒为光端收发器)如果在操作中有设备没有响应的话,需要断电重启设备(B5后部有单独开关键),检查设备启动无误后,等待中心会场呼叫。在会议结束后,由专人负责断电(请先关闭电视机,再关闭机柜内总电源|;有投影机的站应先用遥控器关闭两次投影机,待绿灯不闪烁变成红灯时,再断总电源)和检查设备,麦克风套上塑料袋放入机柜,摄像机套上塑料袋。检查设备都已断电方可离开,会议室在没人的情况下要锁门。

设备正确连接总图

投影机使用说明 一、投影机正面板图片 1、电源指示灯通电后电源指示灯为红色,轻按一下为绿色,则 为正式启动。若要关机,轻按一下为绿色闪烁,几秒钟后变为红色,则为关机状态。 2、输出信号选择(INPUT)开会时需要选择的输出信号为 S-VIDEO信号。可选信号为A V信号,S-VIDEO信号,视频信号等。开设视频会议时必须要选择为S-VIDEO信号模式。3、确定键(ENTER) 当进入菜单后需要选择时,按确定键确认选 择。周围4个键为上,下,左,右方向键。 4、菜单键(MENU) 可调节画面亮度,对比度,画面翻转等。 5、暂时停止投影键可暂时停止投影(待机键)一般不需要

二、投影机侧面板图片 1、焦距可调节焦距,清晰或模糊。 2、画面大小可调节投影画面的大小,推荐与投影幕布大小一样。

Maxplus Ⅱ基本操作方法

附录:Maxplus Ⅱ基本操作方法 ——图形输入法 利用EDA工具进行原理图输入设计的优点是,设计者能利用原有的电路知识迅速入门,完成较大规模的电路系统设计,而不必具备许多诸如编程技术、硬件语言等新知识。 MAX+plusII提供了功能强大,直观便捷和操作灵活的原理图输入设计功能,同时还配备了适用于各种需要的元件库,其中包含基本逻辑元件库(如与非门、反向器、D触发器等)、宏功能元件(包含了几乎所有74系列的器件),以及功能强大,性能良好的类似于IP Core的巨功能块LPM 库。但更为重要的是,MAX+plusII还提供了原理图输入多层次设计功能,使得用户能设计更大规模的电路系统,以及使用方便精度良好的时序仿真器。以传统的数字电路实验相比为例,MAX+plusII提供原理图输入设计功能具有显著的优势: ?能进行任意层次的数字系统设计。传统的数字电路实验只能完成单一层次的设计,使得设计者无法了解和实现多层次的硬件数字系统设计; ?对系统中的任一层次,或任一元件的功能能进行精确的时序仿真,精度达0.1ns ,因此能发现一切对系统可能产生不良影响的竞争冒险现象; ?通过时序仿真,能对迅速定位电路系统的错误所在,并随时纠正; ?能对设计方案作随时更改,并储存入档设计过程中所有的电路和测试文件; ?通过编译和编程下载,能在FPGA或CPLD上对设计项目随时进行硬件测试验证。 ?如果使用FPGA和配置编程方式,将不会有如何器件损坏和损耗; ?符合现代电子设计技术规范。传统的数字电路实验利用手工连线的方法完成元件连接,容易对学习者产生误导,以为只要将元件间的引脚用引线按电路图连上即可,而不必顾及引线的长短、粗细、弯曲方式、可能产生的分布电感和电容效应以及电磁兼容性等等十分重要的问题。 以下将以一位全加器的设计为例详细介绍原理图输入设计方法,但应该更多地关注设计流程,因为除了最初的图形编辑输入外,其它处理流程都与文本(如VHDL文件)输入设计完全一致。

产品部产品上线操作流程及规范

产品部产品上线操作流程及规范 一、编写本流程和规范目的 为提升平台的整体形象以及消费者的用户体验,提升平台竞争力,便于产品部对产品上架操作的规范化、正规化,明晰与供应商的合作流程及规范,特制定此流程及规范。 二、本标准的适用范围 本流程及规范适用于产品部在主动选择或筛选供应商提供的产品是否符合平台要求,以及产品部在与意向供应商接洽时的具体操作内容方面。在产品的初选、评估到最后上线均适用与本流程及规范。 三、术语与定义(无) 四、招商工作中的内容与规定 1.招商工作流程

2.在平台上线产品必须为平台可经营的产品 平台可经营种类为:农副产品、水果、预包装食品、进口酒类、国产酒类、非酒精饮料及茶叶、电子产品、日用百货的销售、礼品鲜花、小饰物、小礼品。平台销售的产品主要为农副产品、水果、预包装食品及中高端生活类用品。尤其以绿色生态农产品及其加工制品为主要发展方向。 3.供应商资质必须符合平台的标准 主体:与四翁合作主体必须为国家法律承认的企业法人或其自然人代表。生产企业名优企业优先。 资质:与四翁合作主体需向四翁提交营业执照、税务登记证(地税、国税)、商标注册证、组织机构代码证及其它必须的相关许可。 产品:产品有较强的市场卖点及售价优势,适合于互联网销售及物流运输。并提供产品的宣传图样若干,及产品详细信息(类似于淘宝及京东商城样式)。 五、职责与权限 1.商品上线前供应商需提供的资料及其他相关事宜 1.1 供应商需提供给平台需上线的产品图片,具体要求如下:600*600Px 主图1-3张(高清无码,无不相关水印、logo),产品详情图3-6张(无不相关水印、logo,宽与高500px*1500px,像素达到72的宝贝描述详情图),必须与所销售产品实物相吻合且附带产品介绍的文字资料。所有图片必须为高清图片,创意艺术拍摄并精修,图片明亮美观,能激起顾客的购买欲望,手机拍摄像、未处理照片不予采纳。 1.2 产品详情图中需包含产品的产地、主要成分、产品规格、产品保质期、使用说明及禁忌。图片清晰美观,布局合理。 1.3 为保证平台的美观及整体档次,平台有权利对产品形象不合格的产品予以下架或不上架处理。供应商无法提供合格图片的,可委托平台协助拍摄处理产品图片,费用由供应商承担。 1.4 产品包装符合物流运输要求,安全性强,稳定性高,避免运输过程中发生损坏。 1.5 产品上架前,供货商需向平台提供不少于2个购买单位的商品体验装,以便于平台了解体验此产品。体验装必须与供货商所提供给平台销售的

相关文档