文档库 最新最全的文档下载
当前位置:文档库 › 分频器介绍

分频器介绍

分频器介绍
分频器介绍

分频器的使用(1)

(2008-04-29 10:59:18)

转载▼

标签:

it

分频器的使用问题

分频器是一种可以将声音信号分成若干个频段的音响设备。我们知道,声音的频率范围是在20Hz—20kHz之间,祈望仅使用一只扬声器就能够保证放送、20Hz—20kHz这样宽频率的声音是很难做到的,因为这会在技术上存在各种各样的问题和困难。所以,在通常情况下,高质量的放音系统,为了保证再现声音的频率响应和频带宽度,在专业范畴内大都采用高低音分离式音箱放音,而采用高低音分离式音箱放送声音时,就必然要使用分频器。

高低音分离式音箱使用分频器的原因

所谓高低音分离式音箱是指声音的高频部分由一只高音扬声器放音,低频部分则由一只低音扬声器放音的音箱,而不是由一只扬声器完成整个音频频段放音的任务。在专业音箱中,高音单元一般为号角式扬声器,低音单元则有直射式和气流式等多种形式。不管是内分频还是外分频,高低音分离式音箱都要采用分频放音的方案,其主要原因有以下3个方面。

1.使各种扬声器都工作在最合适的音频段

振膜尺寸和材料不同的扬声器,其最佳工作频带也不同。口径越大的扬声器,则低频特性就越好。所以,在其他条件相同时情况下,18英寸的低音效果肯定优于15英寸的低音效果就是这个道理。

振膜材料的刚性和脆度越好、质量越轻,放音的高频特性就越好。很多高音扬声器采用钛膜或铟膜作为振膜材料,就是为了提高其高频特性;而低音扬声器的振膜一般采用纸、碳纤维、防弹布和橡皮(边)等材料,以利于低音再现。

使用分频器可以将高频信号送到高音扬声器中,低频信号送到低音扬声器中,高、低频信号各行其道,尽可能大地利用了各自扬声器的工作频带优势,以保证不同工作频段的扬声器充分发挥作用,使各频率的放音特性更加均衡一致。

2。克服不同频率声音扬声器振膜振动幅度不同所引起的切割失真

扬声器发音时,其振摸的低音振动幅度大、高音振动幅度小。从理论上讲,扬声器纸盆的振动幅度与再现声音频率的平方成反比,即同一扬声器振膜,在相同幅度的信号电压作用下,频率越低,振幅越大,也就是说,如果频率增加10倍,振幅将减少10的平方倍,即100倍。

如果我们用一只扬声器产生很宽频率范围的声音,由于振膜机械性能的限制,同时存在振幅非常宽的振动变化是非常困难的,这就必将发生声音切割失真的现象,使再现声音质量受到一定影响。

研究发现,切割失真对低音的影响最大,当低音扬声器放送低音的同时,只要还有高音成分存在,就必然会导致切割失真,使低音出现发抖、发颤的现象。当然,高音扬声器出现切割失真也会使高音出现嘶哑的声音,只是影响没有低音大而已。

3.减少同一音箱中的不同扬声器之间产生的声音干涉现象

对于高、低音分离式音箱中的高音扬声器和低音扬声器来说,虽然它们的工作频段不同,但是如果将全频信号不加分频地送人高音扬声器和低音扬声器,肯定会出现高、低音扬声器同时发出相同声音的情况,当不同扬声器的相同声音相遇时,就很可能产生声波互相干涉现象。

有一点声学常识的人都知道,一旦出现声音干涉现象,就会出现梳状滤波效应、驻波等一系列问题,这些问题均会不同程度地影响声音的良好再现。

设置分频电路后,高音和低音扬声器分别获得自己最佳工作频段声音信号,它们之间发

出声音的频率范围几乎不覆盖,除音箱分频点和分频交叉区域还会存在少量干涉外,其余频率声音的干涉现象根本就不再存在了。

分频点和分频交叉区域会存在声音干涉现象的原因很简单,由于分频器的分频衰减率不可能做得无穷大,在分频交叉区域,尤其是在分频点,高音扬声器和低音扬声器会同时存在对方频段的声音,这时出现声音干涉现象在所难免。所以说,分频器的分频衰减率做得越高,分频交叉区域就越小,扬声器问的声音干涉就越小。

分频器的分类

分频器有两大类:一类是被动分频器(PassiVe Crossover),亦称功率分频器;另一类是主动分频器(Active Crossover),亦称电子分频器。

1、被动分频器

被动分频器是一种音箱内置分频器,由电容和电感滤波网络构成,其特点是分频网络设置在功率放大器和扬声器之间。这种分频器把从功率放大器直接出的全频音频功率信号分为低音和高音或者低音、中音和高音,将分频后的信号按不同频段分配给各频段扬声器。在全频高、低音或高、中、低音主动分频音箱中,均由被动分频电路完成分频任务。

被动分频的优点是:首先,结构简单、成本低,与音安装在一起,毋需调整,使用方便;其次,在系统连接方面较为容易,只要给功放输入全频信号,将功放与音箱连接在一起就可以实现全频放音;第三,需要的功率放大器少,一般一台功放可以带两只全频被动分频音箱,故系统成本较低。

被动分频的不足是:首先,分频网络要承担加到扬声器上的很大功率和电流,所以要用较大体积的电感,而且由于电感的参数与扬声器阻抗有着直接关系,而扬声器的阻抗又是频率的函数,与标称值偏离较大,因此误差较大,计算较难;其次,功率放大器输出的功率音频信号通过电容和电感滤波器后,必然会由于电容和电感的非线性而造成失真,声音失真再所难免;第三,从功放输出的音频功率信号,每经过一个电容和电感器件都会造成功率信号

的损失,所以被动分频的功率信号损失较大;最后,分频衰减率不能做得太高,一般最大12dB/倍频程,分频交叉区域的干扰偏大,这是因为被动分频器提高分频衰减率的途径是增加电容器或电感器,也就是滤波阶数,但是增加电容器或电感器的个数,就意味着随之增加信号失真和功率损失,提高分频衰减率的结果是带来了其他更多的问题。

顾名思义,被动分频是一种“无奈”:的分频方式,功放输出的全频功率信号不得不要分频,不分频就会导致一系列问题,故只能被迫将功率信号分频处理。民用音箱为了降低系统成本,全部采用被动分频方式。专业音箱由于与民用音箱在要求、听音主体以及使用人员等方面存在着很大的不同,故除了被动分频方式音箱外,还有主动分频方式音箱。

2.主动分频器

主动分频器是一种将全频音频弱信号进行分频的设备,一般由有源电子线路分频系统构成,其特点是分频系统位于功率放大器前,将全频音频弱分频后,把低音、高音或低音、中音、高音信号分别送至各自功率放大器,然后由功放分别输出到低音、高音或低音、中音、高音扬声器,这种方法被称为主动分频,因工作在弱信号情况下,故可用小功率的电子有源滤波器实现分频。

被动分频的音箱的各扬声器单元均设有自己的功率信号接口,有些高、低音分离式音箱可以有主动分频和被动分频两种连接方式,这类音箱的背后都设有主动分频(Active)与被动分频(Passive)转换开关,有些音箱上的这种转换开关还装有锁定机构,避免发生误拨动情况。当采用主动分频方式时,一定要将分频方式转换开关拨到“Active”一边,将高音功放接高音(Hi2h)输入、低音功放接低音(Low)输入。

主动分频的优点很多,一是由于采用弱信号电子线路信号进行分频处理,故声音信号损失小、失真小,再现音质好;二是分频衰减率可以较被动分频做得更高,达到24dB/倍频程很容易,分频交叉区域较被动分频小得多,分频交叉区域中的高、低音单元声音之间的干扰基本上被克服了;三是可调性好,电声指标高。

主动分频的不足没有一条是涉及音质方面的,其主要问题在于:一是成本高,投资大。

由于主动分频方式高、低音每路分别要用独立的功率放大器,故使用功率放大器多,如一对二分配音箱要用两只功放推动;二是增加一台电子分频器,这就使得在连接和调整方面增加使用难度。

分频器的使用(2)

(2008-04-29 11:01:59)

转载▼

标签:

it

分频器的使用

前面已经介绍了,分频器有主动分频和被动分频两类。被动分频器固定安装在音箱内部,并不需要音响师对它进行调整,而主动分频方式则存在着电子分频器的正确使用、合理连接以及调整等多方面的问题,所以本文仅对主动分频器在使用中应该注意的几个主要问题加以讨论。

1.面板与功能键

电子分频器的正面板如图1所示,下面介绍各个功能键、钮和接口的作用。

(1)INPUTGAIN .

输入增益。输入信号电平调节,一般放在肋B位置。

(2)LF DELAY

低音延时。对低音进行O—2ms(最大60cm)延时。

(3)LF/HF GAIN

低频/高频增益。对低频频段、高频频段的电平进行调节。

(4)MUTE

哑音。阻断某频段的信号。

(5)x-0VER PREQ

分频频率(分频点)。二分频时,只有一个分频点;三分频时,有两个分频点。

(6)RANGE

频率范围—o分频频率范围在90—900Hz或900Hz—9kHz之间选择。

(7)MODE

分频方式。双声道二分频或单声道三分频选择。

(8)MONO BASS

单声低音。在双声道立体声方式中,可以选择单声低音输出。

(9)CD EQ

恒定指向号角均衡。在使用恒定指向号角(美国EV公司的专利)的情况下,可以使高频段特性更好。

(10)LIMITER

限制钮。输出信号增益限制调节,用于限制过强信号,保护功放音箱。

(11)THRESHOLD

阈值选择键。选择限制阈值范围,有-6dB和+18dBu两种选择。

电子分频器的背面板一般以各种接口为主,如图2所示,下面介绍各个接口和功能键的作用。

(12)FUSE

保险及电源插座。

(13)SERIAL NUMBER

产品系列号码。

(14)HIGH/MID/LOW OUTPUT

高频/中频/低频输出接口。双声道二分频输出时,按接口上面的频段指示输出高频和低频:单声道三分频时,按接口下面的频段指示输出高频、中频和低频。

(15)POLARITY

极性(相位)键。用此键可以进行反相调节。

(16)INPUT

信号输入接口。从此接口输入全频信号,单声道三分频方式时,从左声道输入信号。

2.分频的几种典型连接方式

对于不同要求的扩声系统,电子分频器的连接方式也有所不同,在实际应用中,具体选择哪种连接方式,应视不同情况而定。

(1)高/低二分频式

高低音二分频的连接方式如图3所示。高低音分离式主动分频音箱一般用于大中型放音场所,高音功放和低音功放的功率配比在3:7或4:6之间。

(2)高/中/低三分频式

高中低三分频的连接方式如图4所示。高中低音分离式主动分频音箱一般用于大型放音场所,高音功放、中音功放和低音功放的功率配比大致为2:3:5。

(3)全频/超低式

全频/超低方式在连接方面比其他分频方式连接简单得多,其全频通道的连接与非主动分频方式完全一样,从调音台左右声道(主输出)输出信号给扩声系统主通道,主通道周边设备的连接顺序和方法与一般扩声系统主通道的连接方法和顺序无异,只是从调音台的编组输出再送出一个信号接到分频器(全频/超低处理器),从分频器(全频/超低处理器)低频(超低)输出接口送出超低信号给超低功放和音箱,此时分频器的分频点一般设在100Hz以下,如图5所示。

除了以上连接方法外,还有一种直接用全频/超低处理器连接成全频超低系统的方法,如图6所示。

依笔者看。第一种连接方法优于第二种连接方法,下面分析一下全频/超低连接方案独有的优点,从分析中可以知道到底两种连接方法哪种较佳。

全频/超低系统是目前应用较为广泛的系统,它从几方面解决了扩声系统经常会遇到的问题。

首先,解决了人声与音乐兼容放音的问题。大家知道,人声的基频下限频率大约在130Hz,如果人声中的低音成分太多,将会导致声音浑浊,直接影响语言清晰度和可懂度,所以放送人声时,一般应适当切除低音成分,以保证听音者能够听得清楚语言和演唱内容;而音乐的低音下限频率可以达到20Hz,如果音乐中低音成分不足,会造成低音的丰满度不够。当一个系统目p要放送人声又要放送音乐时,就会在低音方面出现矛盾,采用全频超低方案就可以解决这个矛盾。放送人声时,可以用调音台将编组输出推子拉下来,超低频就没有了;放送音乐时,将编组推子推起来,超低频与全频同时存在,,适当调节全频与超低频之间的比例,就可以获得满意的音乐效果。由此看来,图5所示连接方案在调控方面确有优势。

其次,在一定程度上解决了被动分频效率低的问题。全频超低系统的超低部分采用主动分频方式,由于超低频率占整个声音能量的一半以上,有时甚至可以达到70%以上,将全频声音中的超低频部分采用主动分频处理,就意味着一半以上的声音能量,效率得到提高,高音部分即使采用被动分频后会有所损失,也无妨大局。

有些人用均衡器代替分频器,将均衡器接在超低通道上,均衡器的100Hz以上衰减,认为这样做完全可以取代分频器。其实这种连接的低音效果肯定不好,这是因为,即使用均衡器将高音部分衰减到底部,也只是将高音衰减了12dB,仍有一部分高频成分通过均衡器而送到功放,而分频器是一种低通滤波器,声音的高频部分根本无法通过。从均衡器泄露的高音成分经过功放放大后送到低音扬声器,如前所述,低音扬声器中一旦存在高音成分,必然会导致切割失真,致使低音颤抖、效果变差。

第三,主动分频方式超低音的效果好,可调性强。超低系统采用主动分频方式不仅提高了低音的传输效率,还使低音的失真减到最小,低音更加丰厚、深沉。由于超低与全频的比例可以按照实际需要任意调整,低音与全频部分的衔接也能够由音响师控制,低音效果发挥的余地很大,声音的可调性进一步提高。

3.电子分频器的调节和使用注意事项

电子分频器的面板与功能键前面已做介绍,但是仅对功能键有所了解并不见得就能够调整好分频器,下面就分频器在调整中会遇到的主要问题加以讨论。

(1)分频点的确定

分频点是分频器高通、带通和低通滤波器之间的分界点,常用频率来表示,单位为Hz。分频频率应根据各频段扬声器单元或音箱的频率特性和功率分配来具体确定,音箱在出厂时,厂家会标定各扬声器单元的工作频段和分频参考频率,一般来说,应该按照厂家要求确定分频点。

但是,音响师们在使用中经常会遇到这样的问题,由于说明书丢失而无法知道某音箱的分频点参数,这就需要根据人耳听音评价结果调整分频点。调整分频点时应仔细聆听各扬声器单元声音的衔接情况,调到分频交叉频段没有空白的状态就可以了。

全频超低的超低音箱的分频点一般调到100Hz,分频点太高会出现声音的切割失真现象。大家不妨做个试验,将分频点提到500Hz,低音听起来肯定会有抖动感,这就是切割失真。

(2)分频段增益

各频段声音由于扬声器的灵敏度、功放的功率配比等问题,肯定会出现某频段声音偏强、某频段声音偏弱阶情况,此时就需要对分频器的分频段增益进行调整。

在大多数情况下,应将过强频段声音进行衰减处理,以避免对某频段提升后由于信号过强而烧毁该频段功放或扬声器单元。大家会发现,很多生产厂家将分频器的分频段增益设计为只衰减不提升的形式(如DOD分频器),即提到最大位置时为0dB(不提升也不衰减),衰减到最小位置时为负无穷,就是为了避免由于信号提升而造成设备损坏。

(3)其他调整

分频器上还有许多其他的功能键,这些功能键的作用往往不为人们所重视,实际上,将

它们调到正确状态,肯定能进一步改善声音效果。

(a)LF DELAY(低音延时)

高低音分离式音箱如图7所示。在高低音分离式音箱中,由于高低音扬声器单元均安装在音箱正面平面上。而高音一般为号角,发音点靠后;低音为锥形纸盆扬声器,发音点靠前,音箱放送声音时,肯定会出现低音先到达听音者、高音后到达听音者的情况。如果出现低音先到情况,由于人耳听音的先人为主效应,人们会感觉低音超前、发闷或者声音清晰度不够的问题;如果对低音进行适当延时,就能够解决这个问题。

(b)MONo BASS(单声低音)

低音是选择单声方式好还是选择立体声方式好呢?依笔者看,在条件允许的前提下,应尽可能将低音做成立体声的效果,其原因有三:

一是音乐低音并不是单声而是立体声,所以为了再现一个真正的立体声低音,应该采用立体声低音系统放音;

二是过去的观点认为低音没有指向性,但是现代音箱已经能够具有一定的指向性了,因此其空间位置的不同当然会为人们所觉察;

三是根据生理声学理论,人对低音是存在定位感的,低音定位主要依靠左右耳的相位差(时间差),故立体声低音与单声低音对于听音者来说感受肯定不同。

(c)POLARITY(极性或相位)键

几乎所有的分频器均有这类按键,只是字母标识有Inv、out Phase和等多种罢了,此键用于输出信号的相位调节。音箱存在反相情况时,应该按下该键。如果想要了解音响系统反相情况对再现声音的影响以及判别反相的方法。

电子分频器要注意的几点问题及故障排除

电子分频器要注意的几点问题及故障排除网络摘编 电子分频器: 电子分频器的主要功能当然就是给不同的音箱分配好不同的工作频率了,当然还有保护音箱的功能,下面说下调整电子分频器时需要注意的几点问题及故障排除: 1、分频点: 在一个2分频的音响系统中,一般情况下分频点放在130Hz附近比较合适,但很多情况下,对分频点的调整实际上不是取决于低音音箱,而是要看中高音或全频音箱。因为低音音箱在300Hz以下工作都可以,但有些中高音和全频音箱由于扬声器口径太小,动态范围不够大,必须在200Hz以上工作才能保证它们的安全,如果此时分频点分在130Hz附近,那么这些中高音音箱工作起来就很危险了,因此在效果和安全当中还是要找一个平衡点。我觉得双15寸的全频主音箱最好不要经过电子分频器;单15寸的主音箱可灵活运用;而单12寸以下的主音箱最好要通过电子分频器,至少在180Hz以上工作才安全。 2、音量控制: 不管是输入电平还是输出电平,调整的时候都要有一个度,不要开的太大。如果是电子分频器上的各个音量旋钮都开到很大了,系统的声压还不够,那就要调整电子分频器前面设备的信号电平或者调整电子分频器下面功放的电平和音量开关了。 3、×10按钮: 有一些电子分频器上有一个: ×10的按钮,大家注意不要轻易按下它。 例如我们的分频点调整在200Hz的话,按下此按钮200×10就变成2000Hz 了,因此除非是需要,否则一般不要按下此按钮。

4、低音模式: 有些电子分频器后面板有一个低音模式的选择,它可以把2路立体声信号混合成1路单声道信号,这样可以减少低音音箱之间的声干涉。大家可以适当利用下。 当然要是低音分频点分的较高,那么低音音箱发出的声音就会有一定的指向性了,此时还是要在2路立体声信号的状态下工作较好。 5、立体声工作模式和单声道工作模式: 目前我们使用的大多数电子分频器都是2分频的居多,考虑到灵活性和多功能性,这些电子分频器的后面板一般会有一个立体声和单声道的工作模式转换开关,如果把此开关放在单声道工作模式下,那么此时这台电子分频器就从一台双通道2分频的电子分频器变成了一台单通道3分频的电子分频器了。因此除非必要,否则不要轻易转换此工作开关,要不然电子分频器后面信号输出口所输出的频率信号就会大不一样了!轻者恶化了音质,重者还会损坏设备! 6、系统中低音信号的输出和中高音信号的输出一定不要搞混了,否则高音信号给了低音音箱,低音信号给了高音音箱,那样南辕北辙的做法音响系统中就真的没有声音出来了,因为频率不对呀!搞不好还会烧坏音箱呢! 电子分频器故障例子: 1、05年朋友在长沙做了一个大型的酒吧,音响系统中共使用了单12寸全频主音箱16只,双18寸重低音音箱22只,还有其它20多只辅助音箱。但开业几天后发现主音箱的单12寸的喇叭坏了2只,开始那里的技术人员以为是正常损坏,更换了2只新的喇叭了事,但后来一个星期内陆陆续续的又坏了6只12寸的全频喇叭,这样就很不正常了,而且除了12寸主音箱发生故障外别的音箱都没有问题。后来我去帮忙检查了下系统,发现那里的电子分频器分的频率太低,我把分频器的分频点从130Hz调高到了230Hz,这样问题就解决了,而且低音效果也比以前好了很多。其实道理很简单: 这个系统中由于要兼顾人声演出,所以采用了对人声表现较好的12寸全频主音箱,开始时电子分频器的分频点在130Hz,这是什么概念呢?就是说系统中

分频器设计实验报告

竭诚为您提供优质文档/双击可除分频器设计实验报告 篇一:n分频器分析与设计 一、实验目的 掌握74190/74191计数器的功能,设计可编程计数器和n分频器,设计(n-1/2)计数器、分频器。 二、实验原理 分频是对输入信号频率分频。1、cD4017逻辑功能 2、74190/74191逻辑功能 3、集成计数器级联 当所需计数器模数超过所选计数器最大计数状态时,需要采取多片计数器级联。方法分为异步级联和同步级联。4、集成计数器的编程 在集成计数器的时序基础上,外加逻辑门电路等,反馈集成计数器的附加功能端,达到改变计数器时序的目的。可采用复位编程和置数编程两种。5、多片74190/74191计数器级联 可根据具体计数需求和增减需求,选用74190或74191,

选择不同功能、同步或异步设计等。 6、74190/74191计数器编程 由于没有复位端,因此只能使用置数编程,置数端置为0即可异步置数。可根据需求设计n进制加法或减法计数器。 n与译码逻辑功能如下。 7、74191组成(n-1/2)分频器电路如下图: u3 计数器的两个循环中,一个循环在cp的上升沿翻转;另一个是在cp的下降沿翻转,使计数器的进制减少1/2,达到(n-1/2)分频。 三、实验仪器 1、直流稳压电源1台 2、信号发生器1台 3、数字万用表1台 4、实验箱1台 5、示波器1台 四、仿真过程 1、按照cD4017和74191功能表验证其功能。 2、74191组成可编程计数器 (1)构成8421bcD十进制加法计数器,通过实验验证正确性,列出时序表。设计图如下 仿真波形如下 (2)构成8421bcD十进制减法计数器,通过实验验证正确性,列出时序表。设计图如下: 仿真波形如下

音响方面的一些小知识

收集整理的一些小知识: 音源:音源就是提供声音的来源,就是因为音源本身信号较微弱,而要满足人们需要的响度就需要进行扩大声音,所以才有扩声系统的出现。一般音源有CD ,DVD,VCD,话筒拾取的信号等等, 调音台:英文(MIXER/MIXING),是专业音响系统中必不可少的设备,它主要起着四个作用:1,信号混合,2信号分配。3信号处理(也就是所谓调音),4信号放大。它在系统中主要起将小电压信号进行放到大我们需求的电平大小,然后将多路信号进行任意分配再进行混合输出(也就是矩阵结构)。尤其是放大这里,本人看过一些资料,有一个形象的比喻很贴切,就是增益这里就好像一条河一样,当河中的水比较浅时,这样水在通过河时就容易将河中的泥沙一起带走冲走,如果河中的水较深时,河水通过河道时就比较清澈见底,同样泥沙也就沉底了,没有被河水一同冲走,流走。这里的泥沙就是输入通道或其它的噪声,而河水就是我们处理的信号。所以增益的作用也就提高了系统的信噪比,降低了系统的噪声。还有可以将信号源进行音色方面的调整,也就是快速简单的调音工作就是在调音台上的参量EQ进行的。在系统中就好像是一个加工工厂一样。 均衡器:英文(EQ),EQ分两种,一种为图示均衡器(GEQ),另一种为参量均衡器(PEQ)。均衡器就是将全频段信号分为多个小频段,再分别对以一个频点为中心频率地对频段进行相应的提升和衰减,也就是GAIN。在系统中主要起对声场中的不均匀(声场中频率的传输特性引起的)各种缺陷进行补偿,使声场达到频响平坦和均匀。还可对音响设备(如音箱)自身的频响性能进行补偿与校正及对节目源的音色调节。另外在扩声系统中对啸叫的控制也是EQ的作用,如舞台返听用的EQ就是此作用。它在系统中把它称为频率处理设备。 压限器:英文(COMP/LIMITER),又称为压缩限幅器(COMP)。将压限器串接在系统中EQ之前,主要起着对节目信号的压缩作用,此时称为压缩器。对节目中突发的强信号进行按照一定比例进行压缩输出,还可以使整个节目的音量保持平稳(比如话筒离嘴的距离会不一样,这样就造成节目信号电平大小不一)。另一个作用就是调节节目的软硬效果(如迪厅,舞厅等)使节目更具振憾感。软硬效果的调节需要结合压缩器的压缩比,起控时间及释放时间的结合调节才能达到各种意想不到的效果。它在音响系统中主要称为动态处理设备。

15分频器

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity anyodd_div is generic(n:integer:=15); port(clk:in std_logic; clkdiv:out std_logic); end; architecture one of anyodd_div is signal cnt1:integer:=0; signal cnt2:integer:=0; signal clk_temp1:std_logic; signal clk_temp2:std_logic; begin ------------------------------- process(clk) begin if clk'event and clk='1'then if cnt1=n-1 then cnt1<=0; else cnt1<=cnt1+1; end if; end if; end process; --------------------------- process(clk) begin if clk'event and clk='0'then if cnt2=n-1 then cnt2<=0; else cnt2<=cnt2+1; end if; end if; end process; ----------------------------- process(clk) begin if clk'event and clk='1'then if cnt1=0 then clk_temp1<='1'; elsif cnt1<=(n-1)/2 then clk_temp1<='0'; end if; end if;

分频器设计_可控型

现代科技的发展对信号源提出了越来越高的要求,要求信号源的频带宽、频率分辨率高、频率稳定度高、相位噪声和杂散很低、能程控等.频率合成技术是产生大量高精度频率信号的主要技术,频率合成器是一种相位锁定装置,是通讯、雷达、仪器仪表、高速计算机和导航设备中的一个重要组成部分。频率合成器是可由一个工作范围在G地范围的锁相环构成.在高频范围内工作的锁相环是整个系统中功耗最大的部分之一,因此对锁相环的低功耗研究对降低整个系统的功率损耗有着重要的意义.分数分频频率合成器则是近年来出现的一种新技术,它与传统的整数分频频率合成器相比具有频率分辨率高、相位噪声低等优点。前置分频器位于高频锁相环的反馈部分.由于工作频率很高,前置分频器也是锁相环中功耗最大的部分之一。低功耗的前置分频器设计可以很大程度上降低整个锁相环的功率损耗. 目录 摘要 ................................................................................................................ 错误!未定义书签。Abstract ........................................................................................................... 错误!未定义书签。目录 .. (1) 引言 (1) 一、分频器设计 (2) 1.1、分频器的系统介绍 (2) 1.2、前置放大器的设计 (3) 二、前置分频器单元结构 (3) 2.1、TSPC结构 (3) 2.2、传统结构 (4) 2.3、转换器 (5) 三、小数分频器中预分频器的设计 (5) 3.1、小数分频器相位杂散的分析 (5) 3.2、可编程预分频器结构 (6) 结论 (6) 参考文献 (8) 引言 所谓频率合成,又称频率综合,简称频综,是由一个(或几个)具有低相位噪

限幅器的作用

什么是限幅器 微波限幅器是一种自控衰减器, 是一种功率调制器件。当信号输入功率较小时无衰减通过,当输入功率增大到超过某一值时,衰减会迅速增大,这一功率值称为门限电平,输入功率超过门限电平后,输出功率不再增加。实际工作中,限幅器基本上都是为整机需要而专门设计的。常用于微波扫频信号源或相位检测系统中,使输出信号幅度保持稳定。功率限幅器设计用于通信、遥感、雷达系统和高频仪器领域电子元件的输入保护。它针对不同的工作频率、需承受的微波功率、微波脉冲宽度、占空比等要求进行设计.微波限幅器通常用在接收机的放大器或混频器的前面保护它们,免受强信号的影响而烧毁。在扫频仪或测相系统中可使输出幅度保持恒定。限幅器一般由输入端口和输出端口上的隔直流电容器和集成式二极管限幅器电路组成。集成电路包含着透过50欧姆传输线并联的平面掺杂阻挡层(PDB)或Schottky二极管。限幅器在低输入电平时有很低的插入损耗和线性特性,可提供对瞬态或短时间过载的保护。它们有很低的插入损耗和回波损耗,可为您的设备提供安全保护,避免因过大射频功率、直流电压瞬变或静电放电(ESD)导致损坏。 微波限幅器主要参数定义 1.限幅电平:限幅器开始限幅时的功率值。 2.插入损耗:输入电平低于门限电平时输入信号损耗,一般在-10dBm 下测试。 3.承受功率:能承受的最大输入功率(脉冲功率,脉冲平均功率,连续波功率)。 4.恢复时间:以输入脉冲终止开始,到限幅器损耗比插入损耗大3dB为止的时间。

限幅器原理是什么? 理想限幅器是一个无记忆的非线性电路。理想限幅器应具有放大和限幅的双重功能,且要求其放大量为无穷大、限幅是瞬时的。通常限幅器是由非线性限幅器件和一个带通滤波器组成,调频波通过它时,首先由非线性器件将其超过限幅电平E的那部分幅度切去,然后经带通滤波器滤出其基波分量,以使输出电压的频率仍和输入的频率一致。实际设计中,我们采用在一个近似中频带宽的限幅器中加入适量的正反馈,就能够明显地改善它的削弱比,起到几级无正反馈但其它结构相同的限幅器的作用。 限幅器常用在接收设备的前级,对超过门限的大功率输入信号限幅,起到保护后级敏感电路和器件的作用。限幅器的峰值输入功率是在脉冲调制占空比为1%(脉宽10μS,6GHz 以下;脉宽1μS,6GHz 以上)的条件下测试的结果。插损和驻波比是在输入连续波功率-10dBm的条件下测试的结果。 压缩/限幅器的调整及应用 人类的听感动态范围能承受的最大响度和能感受的最安静声音响度的范围可达100万:1(即106倍)听感的动态范围达120dB。扩声系统声音重放的动态范围由于受电子设备的限制,远比人耳的动态范围小很多。最低声音的响受系统中不相关噪声的限制,使小的声音信号淹没在噪声中而无法听到;最大声音的响度受信号削波的限制,使音乐信号中的特大峰值被“砍头”(削波),不仅

一文解析主动分频器和被动分频器的优缺点

一文解析主动分频器和被动分频器的优缺点 汽车音响简单的来说区分主动和被动的区别在于分频处理在功率放大之前或之后,分频在功率放大之前的称为主动,而在功放的输出端进行分频处理的称为被动分频。主动和被动都有各自的优点,声音也都有自己的优点和缺点,需要根据自己需要做选择,而不是听别人说主动好就去玩主动。下面是这两种分频的优缺点。 本文首先介绍了分频器的分类及电子分频器的工作原理,其次介绍了主动分频器的优缺点,最后介绍了被动分频器的优缺点,具体的跟随小编一起来了解一下。 分频器的分类分频器有两大类:一类是被动分频器(PassiVe Crossover),亦称功率分频器;另一类是主动分频器(Active Crossover),亦称电子分频器。 主动分频器的工作原理(电子分频器)电子分频器是利用各类有源滤波器(高通、低通、带通)将全频域的音频信号分成不同的频段,有源滤波器是由无源RC滤波网络和由运算放大器接成的跟随器组成,衰减特性随着RC阶数的增加而增强(每增加一阶,衰减斜率增加-6db/out)。 电子分频方式使功率放大器与扬声器之间只有功率传输线。而没有影响音质的其他环节,从而降低了失真,提高了功率放大器对扬声器的阻尼系数,由于电子分频器的负载是功率放大器的输入,阻抗高而且稳定,所以能很容易的调整分频点和控制分频精度,而且由于电子分频器的每一频段的带宽较窄,使非线性畸变引起的高次谐波受到抑制,降低总谐波失真和互调失真,电子分频器克服了功率分频器中存在的缺点,但增加了成本和系统调试的难度,因此多被用于专业场合。 1、二分频电子分频器的工作原理图 7-32所示为采用滤波运算综合法的二分频电子分频器框图,其基本原理是用一组高通或低通滤波器滤除相应频段的信号,然后将此信号反相后,与全屏输入信号相加,得到另一频段的信号。

分频器

第36卷第2期信息化研究Vo l.36 No. 2 2010年2月I nfo r m a t iza t ion R e s ea r ch Feb. 2010 基于FPGA 的任意数值分频器的设计 周殿凤,康素成,王俊华 (盐城师范学院物理科学与电子技术学院,江苏省盐城市224002 ) 摘要:介绍了基于FPG A 的任意分频系数的分频器的设计,该分频器能实现分频系数和占空比均可以调节的3 类分频:整数分频、小数分频和分数分频。所有分频均通过VHDL 语言进行了编译并且给出了仿真图。本设计中的分频器没有竞争冒险,可移植性强,占用的FPG A资源少。本设计在A l2 te ra 公司的Cyc l o neⅡ系列EP2C35 型FPG A芯片中完全可实现,结果表明设计是正确和可行的。由于分频器应用非常广泛,故本设计具有很强的实用价值。 关键词: FPG A; VHDL;分频器 中图分类号: TP277 0 引言 FPG A 作为未来数字系统的 3 大基石( FPG A、D SP、CPU ) 之一, 成为目前硬件设计研究的重点[ 1 ] 。 在数字系统的设计中,设计人员会遇到各种形式的分频需求,如偶数分频、奇数分频、半整数分频、小数分频率的1 / 10。从图1可看出当j = 5 = m/ 2时输出时钟占空比为50 %。 图110分频器波形图 和分数分频等。在某些设计中,系统不仅对频率有要求,而且对占空比也有严格的要求。由计数器或计数器的级联构成各种形式的偶数分频及非等占空比的奇数分频实现起来较为简单, 但对半整数分频及等占空比的奇数分频实现较为困难,小数分频和分数分频更 占空比为50 %的奇数分频实现起来较为困难,实现的方法较多,文中介绍了一种占用资源较少的方法。假设要实现占空比为50 %的m = 2 n+ 1 分频, 选择两个2 n+ 1进制计数器控制两个中间时钟c lk1 和c l k2 , 1 号计数器在输入时钟c l k的上升沿计数, 2 号在c l k 的 困难[ 2 ] 。为了解决这一问题,本文首先分析了各种分下降沿计数。当计数器1 输出为0 ~n - 1 时c l k1 为 频器的设计方法,然后将其综合起来成为一个通用分频器。通过分析分频器的原理,探索出了一套简单明了的设计方法,设计了一个任意数值通用分频器,该分频器没有毛刺且占用的逻辑资源较少。 1 整数分频器 偶数分频器的设计较为简单,可以利用计数器实1 ,输出为n ~2 n 时c l k1为0 且计数器1 输出为 2 n时计数器1清0 , 如此循环下去; 当计数器2输出为0 ~n - 1 时c l k2为1 ,输出为n ~2 n 时c l k2为0且计数器2输出为 2 n时计数器 2 清0 ,如此循环下去。可见2种计数器实现方法一样,只是翻转的边沿不一样,最终输出的时钟c l kou t = c l k1 + c l k2。占空比为50 %的奇数分频仿真图见图2 ,图中m = 9 ,因此是9 分频电路, 现[ 3 ] 。假设要实现m = 2 n分频, 当计数器的值为0 ~通过预置值m 可以非常方便的对分频系数进行设置 j - 1时,输出时钟为 1 ,计数器的值为j~2 n- 1 时,输出时钟为0 ,在计数器值为2 n - 1 时复位计数器,如此循环下去,即可实现占空比为j/2 n的分频, m 和j都是预置数, j用于调节占空比, m 调节分频系数。m 的值不同,分频系数也就不同, 如果预置数m 是奇数则可实现占空比不等于50 %的奇数分频。图1 中m 取值10,故实现的是10 分频, 输出时钟频率是输入时钟频收稿日期: 2009212 220; 修回日期: 2010201 209。 基金项目:江苏省高校自然科学基金资助项目( 08KJD140005) ;盐城师范学院校级基金资助项目( 09YCK L 016) 。而不需要重新编程。 图29分频器波形图 2小数分频器 小数分频器是通过可变分频和多次平均的方法得到的[ 4 25 ] 。假设要进行m . n分频( m 、n都是整数, 且n < 10 ),因为只有一位小数,所以总共要进行1 0次分 ·59·

基于VHDL的分频器设计[开题报告]

开题报告 电子信息工程 基于VHDL的分频器设计

三、课题研究的方法及措施 由于本课题所设计的分频器基于EDA技术,应用VHDL硬件语言设计完成的,因此选择合适的硬件解决原理对分频器性能至关重要的,为了满足不同系统功能需求的分频,本课题将阐述不同原理,不同分频器,同种分频不同原理的设计方案。 占空比可控的整数分设计方案,原理为计数器为带预置数的计数器,其设计的特殊之处在于:可以根据需要,调整数据的位宽,而且计数的初始值是从l开始的,此处计数初始值的设定是设计的一个创新,这样做的目的是为了配合后面比较器的工作,计数器的输出数据作为比较器的输入,比较器的另一输入作为控制端,控制高低电平的比例,从而达到占空比可调的目的。原理图如图1所示。 图1 占空比可控的原理图部分 小数分频的基本原理是采用脉冲吞吐计数器和锁相环技术先设计两个不同分频比的整数分频器,然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。如设计一个分频系数为lO.1的分频器时。可以将分频器设计成9次10分频,1次11分频这样总的分频值为如式1所示。 F=(9×10+lxl 1)/(9+1)=10.1 (式1) 从这种实现方法的特点可以看出,由于分频器的分频值不断改变.因此分频后得到的信号抖动较大。当分频系数为N-0.5(N为整数)时,可控制扣除脉冲的时间,以使输出成为一个稳定的脉冲频率。而不是一次N分频.一次N-1分频。图2给出了通用小数分频器的电路组成。 图2通用小数分频器的电路组成 改进的小数分频设计方案,将两个整数分频器由一个整数分频器和一个半整数分频器代替,结果在如上分析的两个性能方面都有所提高。利用参数化的设计思想和VHDL描述语言与原理图输入方法相结合的方式,设计并实现了一种抖

音响系统的组成设备的用途与认知

音响系统的组成设备的用途与认知 音响指除了人的语言、音乐之外的其他声响,包括自然环境的声响、动物的声音、机器工具的音响、人的动作发出的各种声音等。 音响系统的组成 音响系统由监听调音台;功放调音台;便携式调音台;功率放大器;动圈话筒;电容话筒;无线话筒;音箱;监听音箱;功放音箱;超低音箱;均衡器;混响器;效果器;延时器;压缩器;限幅器;分音器;噪声门;激光唱机;录音卡座;影碟机;投影机;变调器;点歌器;耳机等众多设备组成。 扬声器有多种分类式:按其换能方式可分为电动式、电磁式、压电式、数字式等多种;按振膜结构可分为单纸盆、复合纸盆、复合号筒、同轴等多种;按振膜开头可分为锥盆式、球顶式、平板式、带式等多种;按重放频可分为高频、中频、低频和全频带扬声器;按磁路形式可分为外磁式、内磁式、双磁路式和屏蔽式等多种;按磁路性质可分为铁氧体磁体、钕硼磁体、铝镍钴磁体扬声器;按振膜材料可分纸质和非纸盆扬声器等。 箱体用来消除扬声器单元的声短路,抑制其声共振,拓宽其频响范围,减少失真。音箱的箱体外形结构有书架式和落地式之分,还有立式和卧式之分。箱体内部结构又有密闭式、倒相式、带通式、空纸盆式、迷宫式、对称驱动式和号筒式等多种形式,使用最多的是密闭式、倒相式和带通式。 分频器有功率分频和电子分频器的区别,两者主要作用都是频带分割、幅频特性与相频特性校正、阻抗补偿与衰减等作用。功率分频器又叫无源式后级分频器,是在功率功放之后进行分频的。它主要由电感、电阻、电容等无源组件组成滤波器网络,把各频段的音频信号分别送到相应频段的扬声器中去重放。它的特点就是成本低,结构简单,适合业余之作,缺点就是插入损耗大,效率低,瞬态特性较差。 功放俗称“扩音机”他的作用就是把来自音源或前级放大器的弱信号放大,推动音箱放声。一套良好的音响系统功放的作用功不可没。功放大体上可分为三大类“专业功放”“民用功放”“特殊功放”。 麦克风的作用就是把声音转接化成音频,在通过音箱播放出来,有录音,把声音放大的作用。话筒的种类:话筒按其结构不同,一般分为动圈式、晶体式、炭粒式、铝带式和电容式等数种,其中最常用的是动圈式话筒和电容式话筒,前者耐用、便宜,后者娇嫩、价格高、但特性优良 凡是对再现声进行种种放大和加工处理的设备均为音响设备。它们有如下的类别: 艺术加工类:包括调音台、混音器等。 音质补偿类:包括均衡器、激励器等。 动态处理类:包括压缩器、限制器、扩展器、噪声门、自动增益控制器等。 声音美化类:包括各种效果机。 扩大还音类:包括功率放大器、音箱、耳机、电子分频器等。音响指除了人的语言、音乐之外的其他声响,包括自然环境的声响、动物的声音、机器工具的音响、人的动作发出的各种声音等。音响大概包括功放、周边设备(包括压限器、均衡器、延时器激励器混响器放大器分配器处理器效果器VCD、DVD等)、扬声器(音箱、喇叭)调音台、麦克风、显示设备等等加起来一套。其中,音箱就是声音输出设备、喇叭、低音炮等等。一个音箱里包括高、低、中三种扬声器,三种但不一定就三个。音源类:包括有线传声器、无线传声器、卡座、电唱机、CD机、VCD/LD/DVD机、录象机、电子乐器等。

什么是主动和被动汽车音响电子分频器

什么是主动和被动汽车音响电子分频器 所谓电子分频,就是将分频电路提至放大电路之前的电路拓扑设计,就这么简单。 电子分频不是什么新技术,应用于舞台专业音响器材已很普遍。由于电子分频时信号功率很小,很容易把频率精确分开,完全可以根据喇叭单元的特性进行分频,最大限度发挥喇叭单元的特性,得到最平直、最满意的听音曲线 什么是主动和被动汽车音响电子分频器?为了真实地重放各种节目信号,要求喇叭有尽可能宽和平坦的频率响应,即使从HI-FI用是最低低放频响要求来说,喇叭的重放频率范围也必须达到50~12500HZ。事实上,由于受扬场器结构以及制作工艺的客观限制,目前任何一种扬声器都无法在如此宽的频率范围内很好的工作。每一种扬声器都有它的有效频率范围,只能在一个较窄的范围内很好地工作,倘若扬声器的实际工作频率超出它的有效频率范围,扬声器的失真和指向性指标将明显变坏。为此,人们制造出了适用于不同频率范围的扬声器,如低频扬声器、中频扬声器、高频扬声器等等。 这样,人耳能听到的20HZ~20KHZ这个频率范围的声音就是分别通过低频扬声器、中频扬声器、高频扬声器来分别发出。但是并不能直接把这两种扬声器并联在一起,必需要有一种器件来做一个“频率分配工作”,分频器应运而生,分频器的作用就是把20~20KHZ 的全频带信号分割成不同的频段,再分配到相应的喇叭发声。 当我们在安装汽车音响套装喇叭时,经常会接触到一个器件——电子分频器。它时而被我们隐藏在仪表台下方,时而又被我们藏在车门内饰板内。可能有些朋友便会好奇,这个电子分频器到底是干什么用的?没有电子分频器可以吗?对于大家的疑问,结合各方资料,就简单给大家介绍一下电子分频器! 什么是电子分频器: 从字面上理解,电子分频器的意思就是“区分频率的器材”,其实科学原理也是如此,将音频的弱信号进行分频,然后传输给功放,让其放大音频信号,最后传输给各个扬声器。电子分频器的作用:

分频器的设计2014-1-10 10.29.8

武汉理工大学《微机原理与接口技术》课程设计报告书

号:
0121105830129
课 程 设 计
题 学 专 班 姓
目 院 业 级 名
分频信号发生器的分析与设计 自动化学院 电气工程及自动化 电气 1107 班 成涛 陈静 教授
指导教师
2014 年
01 月
09 日

武汉理工大学《微机原理与接口技术》课程设计报告书
课程设计任务书
学生姓名: 指导教师: 题 目: 成涛 专业班级: 电气 1107 班 陈静 教授 工作单位: 自动化学院 分频信号发生器的分析与设计
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰 写等具体要求) 1. 设:有一输入方波信号 f0(<1MHz) 。要求输出信号:f1=f0/N,N 通过键盘 输入。 2. 画出简要的硬件原理图,编写程序。 3. 撰写课程设计说明书。 内容包括:摘要、 目录、 正文、 参考文献、 附录 (程 序清单) 。正文部分包括:设计任务及要求、方案比较及论证、软件设计说明(软 件思想,流程,源程序设计及说明等) 、程序调试说明和结果分析、课程设计收 获及心得体会。
时间安排: 12 月 26 日----- 12 月 28 日 查阅资料及方案设计 12 月 29 日----- 01 月 0 2 日 编程 01 月 03 日-----0 1 月 07 日 调试程序 01 月 08 日----- 01 月 09 日 撰写课程设计报告
指导教师签名: 系主任(或责任教师)签名:
年 年
月 月
日 日

分频器的设计

分频器的设计 一、课程设计目的 1.学会使用电路设计与仿真软件工具Hspice,熟练地用网表文件来描述模拟电路,并熟悉应用Hspice内部元件库。通过该实验,掌握Hspice的设计方法,加深对课程知识的感性认识,增强电路设计与综合分析能力。 2.分频器大多选用市售成品,但市场上出售的分频器良莠不齐,质量上乘者多在百元以上,非普通用户所能接受。价格在几十元以下的分频器质量难以保证,实际使用表现平庸。自制分频器可以较少的投入换取较大的收获。 二.内容 分频器-概述 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器,即使在输入输出信号均为正弦波时也往往采用模数转换-数字分频-数模转换的方法来实现分频。正弦分频器除在输入信噪比低和频率极高的场合已很少使用。

分频器-作用 分频器是音箱中的“大脑”,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的各滤波元件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍,明朗、舒适、宽广、自然的音质效果。 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 分频器-分类 1)功率分频器:位于功率放大器之后,设置在音箱内,通过LC滤波网络,将功率放大器输出的功率音频信号分为低音,中音和高音,分别送至各自扬声器。连接简单,使用方便,但消耗功率,出现音频谷

电子分频是什么

电子分频是什么 说到电子分频,首先要说分频器是什么。顾名思义,分频器是一种将不同频率的信号进行分割的电路装置。其本质就是信号中的各种滤波器。通常我们指的音频的频率范围在20Hz-20KHz,高音指的是频率较高的声音,低音指的的是频率较低的声音。而在HiFi音响中,分频器的作用就是将高、中、低音,按照需要频率进行分割。因此分频器也常被称为“分音器”。 为什么需要分频器呢?因为不同的喇叭单元各自的特性不同,它们都有最佳表现的频率范围。因此在要求较高的HiFi音响系统中,利用分频器将频率进行分割,再分别交给高、中、低音喇叭,使在它们仅在最佳表现的频率范围内工作,以达到音质更佳的目的。 那么分频器有哪些种类呢?根据分频器在音响系统中所处的位置不同,我们通常又将它们分为功率分频器和电子分频器。 功率分频器: 电子分频器:

功率分频器是家庭HiFi音响中最常见的分频器,它处于功放之后、喇叭之前。正是因为它需要承受功放输出的巨大功率,所以称为功率分频器。功率分频器都是无源滤波器。 电子分频器则用来构成另一种音响系统。它处于音源之后,功放之前。经过它的音频信号较弱,所以通常用有源滤波器来实现。因此电子分频器也常被成为:有源分频器、主动分频器等。

功率分频器由于受元器件所限,所以在阻抗匹配、相位特性、插入损耗等方面和电子分频相比都不具优势。更重要的是,电子分频系统中,以多台功放分工合作的方式代替了功率分频系统中一台功放全力工作的方式,使得对功放的要求明显下降,但表现却能大大提升。 其实在专业音响上,电子分频系统早就被成熟运用。不过略有不同的是,专业音响中更多使用的电子分频器是DSP(数字信号处理器),它的最大特别是集成度高,功能强大,可以对曲线等进行各种调整。而在家用HiFi音响中,特别是对普通用户来说,笔者更推荐使用模拟的电子分频器。模拟的电子分频器没有很多功能和可调整的部分,但也因此能拥有更自然更优质的声音。 当然,不可否认,无论哪种音响系统如果设计合理,都可能发出好声音。 一家之言,仅供参考。

分频器作用及分频点的选择基础

分频器作用及分频点的选择基础 中国汽车影音网2005-7-17 【字体:小大】 分频器的作用: 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 显然,分频电路的这些作用已被人们所认识和接受。 分频点的选择: 1 考虑中低单元指向性实用边界频率f=345/d(d=单元振膜有效直径)。通常8”单元的边界频率为2k,6.5”单元的边界频率为2.7k,5”单元为3.4k,4”单元为4.3k。也就是说使用上述单元,其分频点不能大于各单元所对应的实用边界频率。 2 从高音单元谐振频率考虑,分频点应大于三倍的谐振频率。也就是说从高音单元的角度

出发,通常分频点应大于2.5k。 3 考虑中低音单元高端响应Fh,通常分频点不应大于1/2 Fh。实际上,二分频音箱上述条件很难得到同时满足。这时设计者应在这三者中有一个比较好的折中选择。但必须强调的是,第一个条件即实用边界频率应该优先满足。 4 三分频的情况下,通常应将两个分频点隔得愈远(应在三个倍频程以上),组合后的系统响应会变得愈好。否则,将会出现复杂的干扰辐射现象。 5 低音与中音的分频点应考虑人声声像定位的问题。应使人声的重放尽可能由中音单元来承担,以避免人声的声像定位音色发生过大的变化。这一点往往容易被设计者所忽视。通常这一分频点应为200-300Hz。

分频器的设计

首先讲一下单元: 一般情况下,我们对单元按频率会划分为超高音,高音,中高音,中音,重低音,低音,超低音 超高音:負責22kHz以上的頻率 高音:負責5000Hz~22kHz頻率、 中音:負責1500~5000Hz頻率 低音:負責1500Hz以下頻率 超低音(增加)負責200Hz以下頻率 也有网友提出其她的划分标准 以A音(C调的“哆来咪法嗦啦西”的“啦”音,频率为440赫兹)为基准音,以倍频的形式向下三个八度向上五个八度,把全音域分为八个八度,一个个八度就就是音响上常说的一个倍频程(1oct)。具体的划分就是这样的: 55-110赫兹,110-220赫兹,220-440赫兹,440-880赫兹,880-1760赫兹,1760-3520赫兹,3520-7040赫兹,7040-14080赫兹,共八段(八个八度)。这样就很清晰的瞧出频段的划分了。110赫兹以下-超低频; 110-220赫兹-低频; 220-440赫兹-中低频; 440-880赫兹-低中频; 880-1760赫兹-中频; 1760-3520赫兹-中高频; 3520-7040赫兹-高频; 7040赫兹以上-超高频。 还有两种频段划分方法 以“E”音划分 -20 次低频 20-40 极低频 40-80 低频下段 80-160 低频上段 160-320 中频下段 320-640 中频中段

640-1280 中频上段 1280-2560 高频下段 2560-5120 高频中段 5120-10240 高频上段 10240- 极高频 以“C”划分 -63 极低频 63-125 低频下段 125-250 低频上段 250-500 中频下段 500-1K 中频中段 1K-2K 中频上段 2K-4K 高频下段 4K-8K 高频上段 8K- 极高频 分频器的主要元件:电阻,电感,电容 电阻在分频器中的作用:调整灵敏度 电感:其特性就是阻挡较高频率,只让较低的频率通过电容:其特性与电感刚好相反,也就就是阻挡频率通过

3分频器的设计

三分频器的设计 时钟输入端(clkin)首先反向和不反向分别接到两个D触发器的时钟输入端,两个D触发器的输出接到一个二输入或非门的输入端,或非门的输出反馈到前面两个D触发器的D输入端,并且或非门的输出后面接一二分频器,得到占空比为50%的三分频波形。 图1:图形设计 VHDL程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen3 is port (clkin : in std_logic; --时钟输入 qout1 : buffer std_logic; qout2 : buffer std_logic; qout3 : buffer std_logic; clkout : out std_logic --占空比为1/2的三分频输出 ); end fen3; architecture behave of fen3 is begin qout3<=qout1 nor qout2; process(clkin) begin if clkin'event and clkin='1' then --在上升沿触发 qout1<=qout3; end if;

end process; process(clkin) begin if clkin'event and clkin='0' then --在下降沿触发 qout2<=qout3; end if; end process; process(qout3) variable tem:std_logic; begin if qout3'event and qout3='1' then --二分频tem:=not tem; end if; clkout<=tem; end process; end behave; 图3:仿真结果

调音经验4、专业电子分频器的使用技巧

4专业电子分频器的使用技巧 在一套音响系统中提到分频器一般来说是指能将:20Hz--20000Hz频段的音频信号分成合适的、不同的几个频率段,然后分别送给相应功放,用来推动相应音箱的一种音响周边设备。由于它是一种用来处理、分配音频频率信号的电子设备,所以我们通常也叫它:电子分频器。电子分频器的详细功能和工作原理我就不多说了,这里我只是侧重于对一些大家比较重视或经常感到困惑的方面做一些通俗易懂的介绍,希望能对大家有所帮助! 一、我们为什么要使用电子分频器 我们音响师研究电声和现在电声设备与技术的不断发展都是为了一个目的:就是要尽量忠实的再现各种音源,当然要把自然界里千奇百怪、各种各样的声音完全利用现在的电声技术再现是不太现实几乎做不到的。大家知道,声音的频率范围是在20Hz—20000Hz之间,现在大多数前级音频处理设备的频率范围是可以达到这样宽度的,但目前的扬声器却成了一个瓶颈部分,我们奢想使用一种或简单几只扬声器就能放送出接近20Hz--20000Hz这样宽频率的声音是很难做到的,因为现在单只喇叭的有效工作频率范围都不是很宽。鉴于此电声工程师们就设计出了在不同频率段内工作的音箱,如: 1、重低音音箱:让它在大约30-200Hz的频率范围内工作。 2、低中音音箱:让它在大约200-2000Hz的频率范围内工作。 3、高音音箱:让它在大约2000-20000Hz的频率范围内工作。 如此以来我们就可以利用在不同频率段工作的不同种类的音箱配置一套能最大限度接近声音真实频率(20Hz--20000Hz)的音响系统了。当然不同音箱设备的构成和参数是不同的,我上面说的是以一个三分频的系统为例,实际使用上还有其它诸如:2分频或4分频等系统,而且不同音响系统中由于采用的音箱会有区别,因此这些音箱的工作频率也不可能是固定相同的,但大体的原理和思路是一样的。 那么有一个问题就是: 我们如何给这些在不同频率段工作的、不同种类的音箱灵活分配音频频率呢?为了解决这个问题,电子分频器就应运而生了,它可以根据不同音箱工作频率的需要提供合适的频率段,例如: 1、我们可以用电子分频器将高频信号通过功放送到高音扬声器中. 2、可以用电子分频器将中频信号通过功放送到中音扬声器中。 3、可以用电子分频器将低频信号通过功放送到低音扬声器中。 这样高、中、低频信号独立输出、互不干涉,因此可以尽可能发挥不同扬声器的工作频段优势,使音响系统中各频段声音重放显得更加均衡一些,使声音更具层次感,使音色更加完美。

音箱中的分音器的作用是什么

音箱中的分音器的作用是什么 汽车使用的叫分频器家庭以及其它场合用的叫分音器,其实质基本相同 分音器简介被动式分频网路(Crossover Network),国内习惯称为“分音器”,其设计受到相当多的变数与考量因素所影响,因而是一项很复杂的工作。(有被动式分音器和主动式电子分音器) 被动式分频 分音器作用被动式分音器“功能、用途”是介于扩大器与喇叭之间,由于单一喇叭无法达到“全频段响应”(全频段即是20HZ-20KHZ,为人耳听觉范围),因而利用喇叭单体尺寸不同的物理频宽响应,来达到要求的“全频段响应”之目的,也因此产生了多种尺寸单体运用在同一声道上的方式。被动式会音器功能就是负责将扩大器全频段输出后,分割成不同频段的声音,分别送到不同尺寸喇叭单体上,表现其应有的特质。由此出现的多音路喇叭组合或称为“分音喇叭”,从一音路汽车喇叭到多音路喇叭均有其用途与多重之选择。组成被动分音器的元件组成:L/C/R,即L电感、C电容、R电阻,依照各元件对频率分割的特性灵活运用在分频网路上。 L电感:其特性是阻挡较高频率,只让较低的频率通过,也就称为“低通滤波器(Low Pass Filter)。通过较低频率的多少是由该“L电感”之电感量来决定,其感抗单位为“μH、mH”代表。电感材质常见有:空心电感、铁淦氧电感、矽钢片电感等。铁淦氧电感、矽钢片电感通常只在需要高电感值而无法由空心电感来获得低直流电阻的场合下才使用,由于铁心电感具有磁饱和而在大电流的场合造成失真的天性,所以铁心电感是一种妥协下的产物。 C电容:其特性与电感刚好相反,也就是阻挡频率通过,让较高的频率通过,称为“高通滤波器(High Pass Filter)。高频率通过多少由C电容的电容量决定。其单位为“μF”。电

相关文档
相关文档 最新文档