文档库 最新最全的文档下载
当前位置:文档库 › 华南理工大学数字电子技术试卷(含答案)

华南理工大学数字电子技术试卷(含答案)

华南理工大学数字电子技术试卷(含答案)
华南理工大学数字电子技术试卷(含答案)

诚信应考,考试作弊将带来严重后果!

华南理工大学期末考试

《数字电子技术》试卷A

注意事项:1. 考前请将密封线内填写清楚;

2. 所有答案请直接答在试卷上(或答题纸上);

3.考试形式: 闭卷;

一. 单项选择题:(在每小题的备选答案中选出一个正确的答案,并将正确答案

1

A.10000000

B. 000100101000

C.100000000

D.100101000

2.已知函数F的卡诺图如图1-1, 试求其最简与

或表达式

3. 已知函数的反演式为,其

原函数为()。

A. B.

C. D.

4.对于TTL数字集成电路来说,下列说法那个是错误的:

(A)电源电压极性不得接反,其额定值为5V;

(B)不使用的输入端接1;

(C)输入端可串接电阻,但电阻值不应太大;

(D)OC门输出端可以并接。

5.欲将正弦信号转换成与之频率相同的脉冲信号,应用

A.T,触发器

B.施密特触发器

C.A/D转换器

D.移位寄存器

6.下列A/D转换器中转换速度最快的是()。

A.并联比较型

B.双积分型

C.计数型

D.逐次渐近型

7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。

A. 10

B. 11

C. 12

D. 8

8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为I OL(max)=10mA,输出高电平时最大输

出电流为I OH(max)=–0.4mA 。门G1的扇出系数是()。

A. 1

B. 4

C. 5

D. 10

9.十数制数2006.375转换为二进制数是:

A. 11111010110.011

B. 1101011111.11

C. 11111010110.11

D. 1101011111.011

10. TTL或非门多余输入端的处理是:

A. 悬空

B. 接高电平

C. 接低电平

D.接”1”

二.填空题(每小题2分,共20分)

1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。

2. 写出四种逻辑函数的表示方法:

_______________________________________________________________;

3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑;

4. 把JK触发器改成T触发器的方法是_____________。

5.组合逻辑电路是指电路的输出仅由当前的_____________决定。

6.5个地址输入端译码器,其译码输出信号最多应有_____________个。

7.输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做_____________。8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。9.N个触发器组成的计数器最多可以组成_____________进制的计数器。

8.基本RS触发器的约束条件是_____________。

三.电路分析题(36分)

1.图3-1(a)所示电路, 移位寄存器原来的数据是,数据从Di顺序输入到移位寄存器,试问:

(1) 在图3-1(b)所示输入波形作用下,在T1到T2期间,输出端X、Y的波形?

(2) 该电路的逻辑功能?(12分)

2.图3-2为两个时钟发生器,图中R1=510Ω, R2=10KΩ,C=0.1uF。(12分)(1)写出JK触发器的状态方程及输出V1、V2的表达式;

(2)画出555定时器的输出V O以及V1、V2的波形;

(3)计算V1的周期和脉冲宽度Tw.

555定时器功能表

3.双积分A/D转换器如图3-3所示,试回答以下问题:(12分)

(1)若被测电压Vi的最大值为2V, 要求分辩率小于0.1mV, 问二进制计数器是多少位的?

(2)若时钟脉冲频率为200kHz, 则对Vi进行采样的时间T1为多长?

(3)若时钟脉冲频率为200kHz, , 已知,输出电压Vo的最大

值为5V, 积分时间常数是

多少?

四.电路设计题(24分)

1)试用一片双4选1的数据选择器74LS153和必要的门电路,设计下面逻

辑函数,并在器件图上画出相应的电路图。(10分)双4

()()

()

()

()

()

2. 试用JK触发器和门电路设计一个十三进制的计数器, 并检查设计的电路能否自启动。(14分)

<<数字电子技术>>试卷(2007.1)A(答案)

一. 单项选择题:(在每小题的备选答案中选出一个正确的答案,并将正确答案的字母填入下表中对应的格子里。每小题2分,共20分。)

二.填空题(每小题2分,共20分)

1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将增大。

2.逻辑函数的四种表示方法是真值表、逻辑电路图、逻辑函数式、卡诺图。

3.逻辑电路中,高电平用1表示,低电平用0表示,则称为正逻辑;

4. 把JK触发器改成T触发器的方法是J=K=T。

5.组合逻辑电路是指电路的输出仅由当前的输入决定。

6.5变量输入译码器,其译码输出信号最多应有32个。

7.输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做竞争-冒险现象。8.一片ROM有10根地址线,8根数据输出线,ROM共有8192个存储单元。9.N个触发器组成的计数器最多可以组成2n进制的计数器。

8.基本RS触发器的约束条件是RS=0。

三.电路分析题(36分)

1.图3-1(a)所示电路, 移位寄存器原来的数据是,数据从Di顺序输入到移位寄存器,试问:

在图

3-1(b)所

示输入

波形作

用下,在

T1到T2

期间,输

出端X、

Y的波

形? (12

分)

(1)

2.图3-2为两个时钟发生器,图中R1=510Ω, R2=10KΩ,C=0.1uF。(12分)(1)写出触发器的状态方程及输出V1、V2的方程;

(2)画出555定时器的输出V O以及V1、V2的波形;

(3)计算V1

的周期和脉冲

宽度Tw.

(1)

,

(2)

(3)

3.双积分A/D转换器如图3-3所示,试回答以下问题:(12分)

(1)若被测电压Vi的最大值为2V, 要求可分辩的电压小于0.1mV, 问二进制计数器是多少位的?

(2)若时钟脉冲频率为200kHz, 则对Vi进行采样的时间T1为多长?

(3)若时钟脉冲频率为200kHz, , 已知,输出电压Vo的最大值为5V, 积分时间常数是多少?

(1),所以

(2)

(3), 所以

四.电路设计题(24分)

1)试用一片双4选1的数据选择器74LS153和必要的门电路,设计下面逻辑函数

,并在器件图上画出相应的电路图。(10分)双4选1的数据选择器74LS153器件的器件图和功能表

()()

()

()

()

()

令.

2. 试用JK触发器和门电路设计一个十三进制的计数器, 并检查设计的电路能否自启动。(14分)

解:根据题意,得状态转换图如下:

所以:

能自启动。因为:

评分标准2007.1(本科)

一.单项选择题:每小题2分,共20分。

二.填空题: 每小题2分,共20分。

三.电路分析题

1.共12分,其中

①写出触发器的激励方程或输出X、Y的逻辑式 3分

②画对Q2Q1Q0的波形 3分

③画对两个触发器的输入端D的波形 3分

④画对输出X、Y的波形 3分

四设计题

1.共10分,其中

①写出Y的最小项之和的标准形式 3分

②把4选一的选择器扩展成8选一的选择器 2分

③正确确定A3A2A1以及D0D1D2D3D4D5D6D7 3分

④画出逻辑图 2分

2.共14分,其中

①状态转换图 3分

②卡诺图化简,得状态方程 3分

③求激励方程 3分

④画出逻辑图 3分

⑤自启动分析 2分

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

华工电力电子技术平时作业2019

电力电子技术平时作业(2019年2月20日,合计37题) 一、填空题(12题) 1、电力变换通常分为四大类,整流、逆变、斩波、变频和变相。 2、晶闸管对触发脉冲的要求是要触发脉冲的幅度和功率达的到对所作用的可控硅有效触发、要可方便与被控的交流电周期同步,和能方便的改变同步后的延迟时间。 3、多个晶闸管相并联时必须考虑均流的问题,解决的方法是串专用均流电抗器。 4、逆变电路可以根据直流侧电源性质不同分类,当直流侧是电压源时,称此电路为___电压源型逆变电路_____,当直流侧为电流源时,称此电路为__电流源型逆变电路______。 5、在正弦波和三角波的自然交点时刻控制开关器件的通断,这种生成SPWM 波形的方法称__自然采样法____,实际应用中,采用__ 规则采样法___来代替上述方法,在计算量大大减小的情况下得到的效果接近真值。 6、常用的晶闸管有_普通型单向导通的晶闸管___式、__普通型双向导通的晶闸管___式两种。 7、单相半控桥整流电路,带大电感性负载,晶闸管在__ 触发 ____时刻换流,二极管则 在_ _电源电压过零点_____时刻换流。 8、过电压产生的原因_ _浪涌电压______、__操作过电压______,可采取__ 阻容吸收、__晒推___、压敏电阻保护。 9、变频电路所采取的换流方式_ 自然换流_、__ 负载换流__、_ 强迫换流_____。 10、门极可关断晶闸管主要参数有_ 最大可关断阳极电流IATO 、__、__。 11、电力变换通常分为四大类,即__ 整流、_逆变_______、__ 直流斩波、___交流变交流__。 12、斩波器是在接在恒定直流电源和负载电路之间,用于_ 改变加到负载电路上的直流电压平均值的一种电力电子器件变流装置。 二、简答题(18题) 1.使晶闸管导通的条件是什么?维持晶闸管导通的条件是什么?怎样才能使晶闸管由导 通变为关断? 答:闸管导通的条件是阳极承受正向电压,处于阻断状态的晶闸管,只有在门极加正向触发电压,才能使其导通。 门极所加正向触发脉冲的最小宽度,应能使阳极电流达到维持通态所需要的最小阳极电流,即擎住电流IL以上。导通后的晶闸管管压降很小。

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

华南理工网络教育电力电子技术

随堂练习

C.不变 D.0 答题: A. B. C. D. (已提交) 参考答案:B 问题解析: 5.(单选题) 单相半波可控整流电路输出直流电压的最大平均值等于整流前交流电压的()倍。A.1,B.0.5,C.0.45,D.0.9. 答题: A. B. C. D. (已提交) 参考答案:C 问题解析: 6.(单选题) 为了让晶闸管可控整流电感性负载电路正常工作,应在电路中接入()。 A. 三极管, B. 续流二极管, C. 保险丝, D. 开关管。 答题: A. B. C. D. (已提交) 参考答案:B 问题解析: 7.(单选题) 晶闸管可控整流电路中的控制角α减小,则输出的电压平均值会()。 A.不变,B.增大,C.减小。 答题: A. B. C. D. (已提交) 参考答案:B 问题解析: 8.(单选题) 电容滤波的单相不可控整流电路中,空载时,输出电压平均值等于(),为输入电压有效值。

A、1.2, B、, C、0.9, D、。 答题: A. B. C. D. (已提交) 参考答案:B 问题解析: 9.(单选题) 单相半控桥整流电路的两只晶闸管的触发脉冲依次应相差()度。 A.180°, B.60°, C.360°, D.120° 答题: A. B. C. D. (已提交) 参考答案:A 问题解析: 10.(单选题) 单相半波可控整流电阻性负载电路中,控制角α的最大移相范围是( )。A.90°B.120°,C.150°,D.180° 答题: A. B. C. D. (已提交) 参考答案:D 问题解析: 11.(单选题) 单相桥式全控整流电路输出直流电压的最大平均值等于整流前交流电压的( )倍。 A. 1, B. 0.5, C. 0.45, D. 0.9. 答题: A. B. C. D. (已提交) 参考答案:D 问题解析: 12.(单选题) 三相半波可控整流电路的自然换相点是( )。 A. 交流相电压的过零点; B. 本相相电压与相邻相电压正、负半周的交点处;

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

华工电力电子技术随堂练习题库

附件一: 章节知识点对应练习题 题序 第一章电力二极管和晶闸管第一节电力电子器 件概述 1电力电子器件的特征 2电力电子器件的分类 本章的所有 习题 第二节不可控器件 ——电力二极管 1 PN结与电力二极管的工 作原理 2 电力二极管的基本特性 3 电力二极管的主要参数 4 电力二极管的主要类型 第三节半控型器 件——晶闸管 1 晶闸管的结构与工作原 理 2 晶闸管的基本特性 3 晶闸管的主要参数 4 晶闸管的派生器件 第二章单相可控整流电路第一节单相半波可 控整流电路 基本电路形式、波形分析本章的所有 习题 第二节单相桥式全 控整流电路 基本电路形式、波形分析 第三节单相全波可 控整流电路 基本电路形式、波形分析 第四节单相桥式半 控整流电路 基本电路形式、波形分 析、移相范围 第三章三相可控整流电路第一节三相半波可 控整流电路 三相半波可控整流电路的 基本形式和工作原理 本章的所有 习题 第二节三相桥式全 控整流电路 三相半波可控整流电路的 基本形式和工作原理 第三节变压器漏感 对整流电路的影响 了解变压器漏感的存在原 因及影响 第四节晶闸管的相 控触发电路 了解触发电路的工作原理 定相 第四章有源逆变电路第一节有源逆变的 概念 掌握有源逆变的基本概念本章的所有 习题 第二节三相有源逆 变电路 掌握三相有源逆变电路的 工作原理和电路基本形式 第三节逆变失败与 逆变角的限制 了解逆变失败的原因与逆 变角的限制 第四节晶闸管直流 电动机系统 了解整流状态和有源逆变 状态时的工作情况 第5章整流电路的第一节电容滤波的掌握电容滤波的单相和三本章的所有

谐波和功率因数问题不可控整流电路相不可控整流电路的工作 原理 习题 第二节整流电路的谐波和功率因数了解谐波和功率因数的关系 第四节多重化整流电路了解多重化整流电路的作用和工作原理 第6章交流电力控制电路第一节单相交流调 压电路 掌握单相交流调压电路的 工作原理、波形分析 本章的所有 习题 第二节三相交流调 压电路 了解三相交流调压电路的 工作原理 第三节斩波式交流 调压电路 掌握斩波式交流调压电路 的工作原理 第四节交流调功电 路 了解交流调功电路的工作 原理和作用 第7章全控型电力电子器件及驱动与保护第一至五节全控 型电力电子器件 了解全控型电力电子器件 的工作原理和基本特性 本章的所有 习题 第六节电力电子器 件的驱动 掌握驱动电路的作用、晶闸 管的触发驱动电路、全控型 器件的驱动电路 第七节电力电子器 件的保护 了解过电压、过电流产生的 原因及过压、过电流保护的 形式,缓冲电路的基本结构 第8章无源逆变电路及PWM控制技术第一节换流方式掌握逆变电路的基本工作 原理和换流方式 本章的所有 习题 第二节电压型逆变 电路 掌握单相半桥、全桥逆变电 路的工作原理、波形分析 第三节电流型逆变 电路 掌握单相电流型逆变电路 的工作原理、波形分析 第四节PWM控制 的基本原理 掌握PWM控制的基本原理 第五节PWM逆变电 路及其控制方法 了解单相半桥、全桥逆变电 路的PWM控制方式 第9章直流变换电路第一节基本斩波电 路 降压式、升压式、降压—升 压斩波电路和Cuk斩波电 路的基本电路形式、工作原 理、输入输出电压的关系 本章的所有 习题 第三节单端间接式 直流变换电路 掌握单端正激式、反激式直 流变换电路的基本电路形 式和工作原理 第四节双端间接式 直流变换电路 了解半桥、全桥直流变换电 路基本电路形式和工作原 理

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

2019华工网络继续教育-电力电子技术-随堂练习答案

1.(单选题) 普通晶闸管的通态电流(额定电流)是用电流的()来表示的。 A.有效值, B.最大值, C.平均值, D.瞬时值 参考答案: C 问题解析: 2.(单选题) 晶闸管内部有()PN结。 A.一个,B.二个,C.三个,D.四个 参考答案: C 问题解析: 3.(单选题) 以下器件中开关速度较快的器件是()。 A、GTO, B、IGBT, C、MOSFET, D、GTR 参考答案: C 问题解析: 4.(单选题) 晶闸管在电路中的门极正向偏压()愈好 A.愈大 B.愈小 C.不变 D.0 参考答案: B 问题解析: 5.(单选题) 单相半波可控整流电路输出直流电压的最大平均值等于整流前交流电压的()倍。 A.1,B.0.5,C.0.45,D.0.9. 参考答案: C 问题解析: 6.(单选题) 为了让晶闸管可控整流电感性负载电路正常工作,应在电路中接入()。 A. 三极管, B. 续流二极管, C. 保险丝, D. 开关管。 参考答案: B 问题解析: 7.(单选题) 晶闸管可控整流电路中的控制角α减小,则输出的电压平均值会()。 A.不变,B.增大,C.减小。 参考答案: B 问题解析: 8.(单选题) 电容滤波的单相不可控整流电路中,空载时,输出电压平均值等于(),为输入电压有效值。 A、1.2, B、, C、0.9, D、。 参考答案: B 问题解析: 9.(单选题) 单相半控桥整流电路的两只晶闸管的触发脉冲依次应相差()度。 A.180°, B.60°, C.360°, D.120° 参考答案: A 问题解析: 10.(单选题) 单相半波可控整流电阻性负载电路中,控制角α的最大移相范围是( )。 A.90°B.120°,C.150°,D.180° 参考答案: D

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

华南理工大学电力电子技术考研复试

华南理工大学电气工程及其自动化主修课程:大学英语、高等数学、电路原理、电机学、发电厂电气部分、电力系统稳态分析、电力系统暂态分析、电力系统继电保护、电力电子技术、自动控制理论等。 华南理工大学电力电子与电力传动2011年考研招生简章招生目录 招生年份:2011 本院系招收人数:140 电力电子与电力传动专业招收人数:18 专

电力电子与电力传动硕士生导师:陈渊睿、杜贵平、康龙云、刘永强、邱东元、王学梅、谢运祥、杨金明、杨苹、张波 华南理工大学电力电子与电力传动复试经历 一、 07年华工电力学院复试体会 前言:记得自已在备考华南理工电力电子时,很难找到有关电力学院复试经历的文章。现在趁着有时间,索性把自已复试这些天的一些经历写一下,也许对08级报考华工电力电子与传动专业的同学会有一点用吧。 看到自已初试成绩时,心里就有了把握-------参加复试是不会有问题的。于是我从13号开始就看电力电子了。到24号,复试通知书中得知电力学院复试是在4月3号,就整个学校来说,有点晚了,不过也很好,可以给自已的复试准备更多的时间。 我们一起有四个人,经过12个小时的煎熬终于到了广州,那天是4月1号。刚到华南理工,一切都是那么的陌生,一切又是那么的新奇。既来之,则安之。当然首要解决的是住宿问题,不巧的是当时好多学院已经开始复试了,床位很紧张,老3那边早就没床位了,我们几个在诺大的华工校园内,苦苦寻觅着一个安身之所,真是好事多磨,终于在华工北门外的成教学院内找到了一个好去处,40/DAY,四人间,条件还可以。得了,安定下来后,我们当晚就到华工教学楼去复习了,教室很大,人也不少,估计大部分都是参加复试的同志吧。的确复试很重要,大家都不敢有所放松。一直到4月2号我们都是在拼命的看书,细细地总结每个可能考的知识点/就这样复试的时候到了。 4月3号早上8点我们几个早早来到电力学院,先是报到,检查证件时间。查完后到9:30开始笔试考试。说实话笔试电力电子并不难,都是很基础的一些问答题和简单的计算题。整张试题分三部分: (1)第一部分------- 问答题(6*5=30) 1.什么是电力电子技术?它分为哪两个分支? 2.说出两种基本的间接变流电路? 3.四种直接变流电路 4.什么是通态平均电流 5.怎么样使晶闸管开通?怎么样让它关断? 6.PWM逆变电路中为什么选用正弦波作为调制波? (2)第二部分------- 计算题(10*2=20) 1.单相桥式整流电路带RL负载时的计算,要求平均电流、电压 2.直流斩波的简单计算 (3)第三部分------- 绘图部分(10*5=50) 1.单相桥式整流电路带RL负载时的,a=30时的电压波形 2.单相半桥逆变电路中(电压型)的电流、电压波形 3.单相交流调压电路,当输出power 是最大输出power的1/2时,控 制角为多少?绘出电压图形?

数字电子技术基础—试题—填空

一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为12条、数据线为 8条。 1.八进制数 (34.2 ) 8 的等值二进制数为(11100.01 ) 2 ; 十进制数 98 的 8421BCD 码 为( 10011000 ) 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入 高电平。 3 .图15所示电路 中 的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有 两 个稳态,它可存储 一 位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用 多谐振荡器 电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或 , 与非门 , 或门 1.(11011)2 =(__27__)10 2.8421BCD 码的1000相当于十进制的数值 8 。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F 的反函数 F 。 5.二极管的单向导电性是外加正向电压时 导通 ,外加反向电压时 截止 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 高阻 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 上拉电阻 和 电源 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 多 。 10. 输出n 位代码的二进制编码器,一般有 __2n ____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 RS=0 。

华南理工大学 电力电子技术 A卷 附答案

,考试作弊将带来严重后果! 华南理工大学期末考试 《电力电子技术基础》试卷 1. 考前请将密封线内各项信息填写清楚; 所有答案请直接答在试卷上(或答题纸上); .考试形式:闭卷; 单项选择题(将正确答案填在题干后面的括号内,共10分)、普通二极管和快速(快恢复)二极管在开关频率上的不同,主要是体现在哪 ( ) A.开通时间 B.反向恢复时间 C.关断时间 D.反向电流延迟时间、晶闸管被触发从断态转入通态就除去触发信号,能维持通态所需要的最小阳 ( ) A.通态平均电流 B.浪涌电流 C.维持电流 D.擎住电流、电压型逆变器中间直流环节贮能元件是……………………………………( ) A.电容 B.电感 C.电阻 D.电动机 、不可在第一和第四象限工作的变流电路是…………………………………( ) A.三相半波可控整流电路 B.单相桥式全控整流电路 C.三相桥式半控整流电路 D.矩阵式变频电路 、为了保护电力电子装置,需要在装置中加上必要的过流保护措施,以下选项中不是常用的过流保护措施有……………………………………………( ) A、快速熔断器 B、过电流继电器 C、集-射极电压识别电路 D、RC吸收电路 、若SPWM逆变器的输出频率变化范围很宽,PWM波的调制方式应采用( ) A、异步调制 B 、同步调制 C、分段同步调制 D、分段异步调制、电流型逆变器输出电流波形是……………………………………………( ) A、三角波 B、正弦波 C、矩形波 D、梯形波 、单相半控桥式整流大电感负载电路中,为了避免出现一个晶闸管一直导通, 采取的措施是在负载两端并联 ( ) A、电容 B、电感 C、电阻 D、二极管 、电压型三相桥式逆变电路,当采用纵向换流时,每个开关管一个周期导通多 ( ) A、60o B、120o C、180o D、240o 、以下的换流方式,哪个不是晶闸管的换流方式…………………………( ) A、电源换流 B、负载换流 C、强迫换流 D、器件换流

相关文档
相关文档 最新文档