文档库 最新最全的文档下载
当前位置:文档库 › MAXPLUS2使用教程

MAXPLUS2使用教程

MAXPLUS2使用教程
MAXPLUS2使用教程

MAXPLUS2使用教程

MAXPLUS II 基本使用之二

授课时间:2课时

授课方式:演示+讲授

目的要求:掌握MAXPLUS II软件的基本使用

重难点: MAXPLUS II软件的基本使用

一、MAXPLUS II的基本操作。

我们以一个二选一的数据选择器的设计为例,通过图形和Verilog-HDL两种方式进行原文件的编辑。设计实体名为21MUX,有三个输入端A,B,S,分别为数据通路A,数据通路B和选择控制S;有一个输出端Y,为数据输出端。

操作步骤:

1.图形方式输入原文件

运行MAXPLUS II后,单击菜单“File>New…”,在出现的新建文件窗口中,选择“Graphic Editor file”,在其后的选择框中选择“.gdf ”为扩展名。

单击OK按钮,启动MAXPLUS II图形编辑器。

在上面的图形编辑框中单击右键,在弹出的快捷菜单中选择“Enter Symbol… ”命令,进入Enter Symbol窗口。

在Symbol Library框中双击maxplus2\max2lib\mf所在路径,然后在Symbol Files框中选择21MUX,单击OK按钮。

这时,图形编辑框中出现了一个红框的标名为21MUX的元件。这就是MAXPLUS II库中自带的一个二选一数据选择器的元件。

按相同方法打开Enter Symbol窗口,双击maxplus2\max2lib\prim所在路径,然后在Symbol Files框中选择input,单击OK按钮。

这时窗口中出现一个红框的输入引脚元件。按上述方法再输入一个输出引脚元件,其元件名为output。

1

接着在按住ctrl键和鼠标左键的同时拖动已有的那个输入引脚元件,在窗口中任意空白处放开。这样操作一次,就得到已有元件的一个拷贝。

我们在原理图上设置三个输入引脚和一个输出引脚,但这时各输入引脚名称相同,无法区分。给引脚命名的方法是,用鼠标左键双击引脚的默认引脚名

“PIN_NAME”,然后由键盘输入自己的引脚名。

接下来要连接各元件。将箭头指到元件引脚的端点处,当箭头变成十字型时,按住鼠标左键并拖动,引出电气连接线至另一元件引脚端点,然后松开鼠标左键,就完成了两个端点间的连接。上述设计完成连接如下:

接下来,在File菜单下选择Save命令将文件保存为名为21MUX的文件。这样就完成了一个完整的图形编辑输入。

2. Verilog-HDL文本方式输入原文件

在运行MAXPLUS II后,在新建文件窗口中选择Text Editor File项,建立一个文本文件。先将该文件保存为主文件名为21MUX,扩展名为vhd的文件;这样以后输入的文本将会得到软件的编辑提示。接着在该文本编辑窗口中输入Verilog-HDL描述程序,完成设计输入并保存。

module mux21(in1, in2, sl, out);

input in1, in2, sl;

output out;

2

reg out;

always@(in1 or in2 or sl)

begin

case (sl)

1’b0: out = in1;

1’b1: out = in2;

endcase

end

endmodule

3.编译原文件

执行File>Project>Set Project to Current File命令,设置当前项目至当前文件(也就是编译的对象)。

再执行File>Project>Save & Compile命令,保存当前项目并进行编译。在编译前可通过Options菜单下的Devices命令进行器件类型的选择。每次选择新器件后都要对项目进行重新编译,才能应用新的器件设置。

4.时序仿真

编译通过(没有错误)后,说明设计文件的格式没有错误,可以进行下一步的仿真工作。

仿真是系统设计中重要的一步,它能检验出设计是否存在逻辑错误。

执行MAX PLUSII>Wavefrom Editor命令,打开波形编辑器。

3

在波形编辑窗口的空白处单击鼠标右键,在弹出的快捷菜单中选择Enter Nodes from SNF…命令。

在出现的窗口中点击List按钮,这时Available Nodes &Groups栏中出现所有可选的节点名称;再点击“=>”,使所有节点同样显示在Selected Nodes

&Groups栏中。最后再点击OK按钮。

这时,在波形编辑窗口中出现了所选择的各节点,对各节点信号进行设置,使其包括所有要检查的逻辑组合(如下图所示)。然后保存该波形文件为扩展名为scf 的仿真文件。

保存好文件之后,选择Max+plusII>Simulator命令,打开时间仿真窗口,单击Start按钮开始仿真。

仿真结束后,单击Open SCF按钮,打开相应的仿真波形文件,观察仿

4

真结果是否满足要求。如果满足要求,可以进行下载,否则修改相应的设计文件。

5. 观察或修改底层逻辑单元映射

选择Max+plusII>Floorplan Editor命令打开底层编辑窗口。

通过底层编辑窗口可以观察并修改器件的引脚及逻辑单元的分配。

6.综合下载

选择Max+plusII>Programmer命令打开编程下载窗口,在已连接好下载硬件的情况下进行下载。

下载之后,就完成了一个完整的设计开发。

注意:

*VERILOG-HDL的文件的主文件名必须与实体名相同;

*文件名及文件保存路径中不能存在中文字符和空格等非法字符。

*同一文件夹下不能存在主文件名相同而扩展名不同的两个图形输入文件和文本输入文件。

5

maxplus2简明教程一

第一章 MAX+plus II开发软件A1tera公司的MAX十plus II开发系统是一个完全集成化、易学易用的可编程逻辑设 计环境,它可以在多种平台上运行。MAX十plus是Multiple array matrix and programmable logic user system的缩写,目前已发行到了第10.1版本。它所提供的灵活性和高效性是无可比拟的,其丰富的图形界面,辅之以完整的、可即时访问的在线文档,使设计人员能够轻松、愉快地掌握和使用Max十plus II软件。 1.1MAX+plus II功能特点 1、开放的界面 Altera的工作与EDA厂家紧密结合,使MAX+plus II软件可与其它工业标准的设计输入、综合与校验工具相连接。设计人员可以使用A1tera或标准EDA设计输入工具来建立逻辑设计,使用MAX十plus II编译器(Compiler)对A1tera器件设计进行编译,并使用A1tera 或其它EDA校验工具进行器件或板级仿真。目前,Max十plus II支持与Cadence、Exemplarlogic、MentorGraphics、Synopsys、Synplicity、Viewlogic和其它公司所提供的EDA 工具的接口。 2、与结构无关 MAX十plus II系统的核心Compiler支持Altera公司的ACEX1K、FLEXl0K、FLEX 8000、FLEX 6000、Max 9000、Max 7000、Max 5000和C1assic可编程逻辑器件系列,提供了业界唯一真正与结构无关的可编程逻辑设计环境。MAX十plus II的编译器还提供了强大的逻辑综合与优化功能,使用户比较容易地将其设计集成到器件中。 3、多平台 Max十plus II软件可在基于486、奔腾PC机的Windows NT 3.51或4.0、Windows 95、Windows 98、Windows2000下运行,也可在Sun SPAC Stations,HP 9000Series 700/800和IBM RISC System/6000工作站上运行。 4、完全集成化 MAX十plus II的设计输入、编译与仿真功能全部集成在统一的开发环境下,这样可以加快动态调试,缩短开发周期。 5、丰富的设计库 MAX十plus II提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑宏功能(Macro-Function)以及新型的参数化的宏功能(Mage-Mnction)。调用库单元进行设计,可以大大减轻设计人员的工作量,也可成倍地缩短设计周期。 6、模块化工具 设计人员可以从各种设计输入、编译和仿真选项中进行选择,从而使设计环境用户化,必要时,还可根据需要添加新功能。由于MAX十plus II支持各种器件系列,设计人员不必学习新工具即可支持新结构。 7、硬件描述语言(HDL) Max + plus II软件支持各种HDL设计输入选项,包括VHDL、Verilog HDL和Altera 自己的硬件描述语言AHDL。 8、Megacore功能 Megacore功能是为复杂的系统级功能提供的,经过校验的HDL网表文件,它能使ACEX1K、FLEX10K、FLEX 8000、FLEX 6000、MAX 9000和MAX 7000器件系列实现

HDMI2.0 USB3.1_MHL3.0_SATA3.2规范说明

國內最專業的高頻系統整合商, 在電線電纜及連接器高頻測試領域超過十年,市場佔有率超過70%, 客戶總數350家以上. 可提供完整高頻測試系統, USB 3.1 / HDMI 2.0 / MHL 3.0 / SATA 3.2規範說明 研討會主題 一、HDMI 2.0線材應用與測試說明二、MHL 3.0線材應用與測試說明三、USB 3.1 線材應用與測試說明四、SATA 3.2線材應用與測試說明

國內最專業的高頻系統整合商, 在電線電纜及連接器高頻測試領域超過十年,市場佔有率超過70%, 客戶總數350家以上. 可提供完整高頻測試系統, HDMI 2.0線材應用與測試說明 1一、HDMI 2.0 特性簡介二、HDMI 2.0 的傳輸架構三、HDMI 2.0 的線材與連接器四、HDMI 2.0 線材高頻量測

國內最專業的高頻系統整合商, 在電線電纜及連接器高頻測試領域超過十年,市場佔有率超過70%, 客戶總數350家以上. 可提供完整高頻測試系統, HDMI 2.0 特性簡介 HDMI 2.0 特色如下: 1.單通道3.4Gbps(10.2Gbps/cable)提升到6Gbps(18Gbps/cable) 2.支持4K (Ultra HD, 超高解析度)顯示,支援2160p,60Hz,24bit 1080p,60Hz,24bit = 148.5MHz pixel rate 2160p,60Hz,24bit = 4*1080p=594MHz pixel rate 3.支援雙顯示可同步傳送雙視訊串流給多使用者 4.21:9 長寬比顯示 5.提升最高音訊通道為32 個 6.提升最高的音頻取樣率為1536KHz 7.支援多串流音訊給多使用者 8.動態自動對嘴同步 9.CEC 功能擴充使人機互動更完善、支援 故本次升級,線材及連接器規格同HDMI 1.4的CAT 2等級,即針對線材及連接器不需要改變 舊有規格。但必須2 端都要使用HDMI 2.0規格的終端設備才能實現,最大6Gbps 的傳輸頻寬

maxplus2中常用元器件列表

1 打开图形编辑器(graphic editor),双击窗口,就可出现元件选项下拉菜单;在 c:\windows\temporary internet files 中,保存有用户自己编制的元件(实 体); c:\maxplus2\max2lib\prim中,有各类基本门电路及输入/输出端口; c:\maxplus2\max2lib\mf中,有74系列各类数字集成电路 c:\maxplus2\max2lib\mega_lpm中为可调参数库,包括参数化模块,功能 复杂的高级功能模块,如可调模值的计数器,FIFO,RAM等 c:\maxplus2\max2lib\edif中元件的功能和mf库类似 现将常用的prim和mf库中的主要元件罗列如下: c:\maxplus2\max2lib\prim and12 and2 and3 and4 and6 and8 一般与门 band12 band2 band3 band4 band6 band8 输入反向与门 = 或非门 bdir bdirc 双向端口、可控双向端口 bnand12 bnand2 bnand3 bnand4 bnand6 bnand8 输入反向与非门 = 或门 bnor12 bnor2 bnor3 bnor4 bnor6 bnor8 输入反向或非 门 = 与门 bor12 bor2 bor3 bor4 bor6 bor8 输入反向或门 = 与非门

dff dffe 带低电平置位/清零的D触发器,后者带使能端 gnd 接地 input inputc 输入端口 jkff jkffe 带低电平置位/清零的JK触发器,后者带使能端latch D锁存器 nand12 nand2 nand3 nand4 nand6 nand8 与非门nor12 nor2 nor3 nor4 nor6 nor8 或非门 not 反相器 or12 or2 or3 or4 or6 or8 或门 output outputc 输出端口 param 参量 srff srffe 带低电平置位/清零的RS触发器,后者带使能端 tff tffe 带低电平置位/清零的t触发器,后者带使能端 title 标题框 tri 三态门 vcc 正电源 xnor xor 同或、异或 c:\maxplus2\max2lib\mf

MAX+plus_II10.2教程简介

本教程享有知识版权保护,非法传播必究。 Max+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程 逻辑器件的供应商之一。Max+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的 EDA软件。在Max+plusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程 下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、 快速处理和器件编程。 Max+plusⅡ开发系统的特点 1、开放的界面 Max+plusⅡ支持与Cadence,Exemplarlogic,Mentor Graphics,Synplicty,Viewlogic 和其它公司所提供的EDA工具接口。 2、与结构无关 Max+plusⅡ系统的核心Complier支持Altera公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000和Classic可编程逻辑器件,提供了世界上唯一真正与 结构无关的可编程逻辑设计环境。 3、完全集成化 Max+plusⅡ的设计输入、处理与较验功能全部集成在统一的开发环境下,这样可以加快动 态调试、缩短开发周期。 4、丰富的设计库 Max+plusⅡ提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的 逻辑功能(Macro-Function)以及新型的参数化的兆功能(Mage-Function)。 5、模块化工具 设计人员可以从各种设计输入、处理和较验选项中进行选择从而使设计环境用户化。 6、硬件描述语言(HDL) Max+plusⅡ软件支持各种HDL设计输入选项,包括VHDL、Verilog HDL和Altera自己的 硬件描述语言AHDL。 7、Opencore特征 Max+plusⅡ软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。 常用菜单简介 (1)MAX+PLUSⅡ菜单: MAX+plusII: Hierarchy Display___塔形显示;

ALTERA软件安装说明

一、安装MAX+PLUSⅡ(共有三项内容) 1、安装MAX+PLUSⅡ工具软件 MAX+PLUSⅡ几种版本的安装方法基本相同,下面为PC机的Windows OS平台的安装过程。 ①插入MAX+PLUSⅡ安装光碟,出现图1.1所示安装界面。 图1.1 MAX+PLUSⅡ安装界面 ②选择Full / Custom / FLEXlm项,即开始安装。 ③按屏幕提示进行操作,直至安装完成后,显示MAX+PLUSⅡ文件夹内容,如图 1.2所示【图中显示安装文件目录为:D:\Program Files\maxplusII\maxplus2】。 图1.2 MAX+PLUSⅡ文件夹 2、安装MAX+PLUSⅡ许可文件License.dat 为了保证安装好的MAX+PLUSⅡ能正常使用,在第一次运行MAX+PLUSⅡ前,需要做如下工作:【正常情况下,第②、③步可以不用操作,若无法执行第④,再考虑执行第②、③步】 ①将MAX+PLUSⅡ软件提供的许可文件License.dat复制到MAX+PLUSⅡ软件的安装目录(即文件夹),例如,D:\Program Files\maxplusII\maxplus2的文件夹下。

②用文本编辑器打开MAX+PLUSⅡ软件安装目录下(例如:D:\Program Files\maxplusII\maxplus2)中的配置文件maxplus2.ini。 ③在[Licensing]项下,添加如下申明语句后原名保存: LM_LICENSE_FILE=D:\Program Files\maxplusII\maxplus2\license.dat; ④在桌面双击MAX+PLUSⅡ图标,或选择Windows 系统中“开始”菜单的程序项中的maxplusII(或Altera)组内的MAX+PLUSⅡ 10.2项(如图1.3),开始运行MAX+PLUSⅡ。 图1.3 MAX+PLUSⅡ的启动 ⑤进入MAX+PLUSⅡ界面后,选择菜单命令Option / License Setup,出现图 1.4所示License Setup界面。 图1.4 License Setup界面 其中,左侧中间窗口列出所有支持的操作,而右侧中间窗口则为未被授权使用的操作。 点击右上方的步安装的MAX+PLUSⅡ软件许可文件License.dat所在安装路径(例如,D:\Program Files\maxplusII\maxplus2的文件夹下)。点击License.dat后选择OK,回到MAX+PLUSⅡ管理器界面即可开始进行设计了。

Maxplus_Ⅱ基本操作方法

附录:Maxplus n基本操作方法 图形输入法 利用EDA工具进行原理图输入设计的优点是,设计者能利用原有的电路知识迅速入门,完成较大规模的电路系统设计,而不必具备许多诸如编程技术、硬件语言等新知识。 MAX+plusll提供了功能强大,直观便捷和操作灵活的原理图输入设计功能,同时还配备了适用于各种需要的元件库,其中包含基本逻辑元件库(如与非门、反向器、D触发器等)、宏功能元件(包含了几乎所有74系列的器件),以及功能强大,性能良好的类似于IP Core的巨功能块LPM库。但更为重要的是,MAX+plusll还提供了原理图输入多层次设计功能,使得用户能设计更大规模的电路系统,以及使用方便精度良好的时序仿真器。以传统的数字电路实验相比为例,MAX+plusIl提供原理图输入设计功能具有显著的优势: *能进行任意层次的数字系统设计。传统的数字电路实验只能完成单一层次的设计,使得设计者无法了解和实现多层次的硬件数字系统设计; *对系统中的任一层次,或任一元件的功能能进行精确的时序仿真,精度达0.1 ns,因此能发现一切对系统可能产生不良影响的竞争冒险现象; 通过时序仿真,能对迅速定位电路系统的错误所在,并随时纠正; *能对设计方案作随时更改,并储存入档设计过程中所有的电路和测试文件; ?通过编译和编程下载,能在FPGA或CPLD上对设计项目随时进行硬件测试验证。 ?如果使用FPGA和配置编程方式,将不会有如何器件损坏和损耗; 符合现代电子设计技术规范。传统的数字电路实验利用手工连线的方法完成元件连接,容易对学习者产生误导,以为只要将元件间 的引脚用引线按电路图连上即可,而不必顾及引线的长短、粗细、弯曲方式、可能产生的分布电感和电容效应以及电磁兼容性等等十分重要的问题。

Maxplus II 指导

Max Plus II 操作指导 汪原 计算机与通信学院实验中心 2008年11月

前言 Maxplus II 是目前比较流行的数字系统设计软件,由Altera公司根据他们的可编程硬件产品FPGA/CPLD开发出来的集成设计平台, 该软件的功能有:逻辑电路设计、电路输入输出仿真、自动完成逻辑电路编程,并将编程代码下载到FPGA/CPLD硬件中,实现了灵活的电路设计,就可获得可靠的,且满足设计要求的逻辑器件。 注释: FPGA —— Field Programmable Gate Array,现场可编程门阵列。 CPLD —— Complex Programmable Logic Device,复杂可编程逻辑器件。 Altera公司的FPGA/CPLD有以下系列:Classic、ACEX1K、MAX5000、MAX7000、MAX9000、FLEX6000、FLEX8000、FLEX10K、FLASHLogic等。在Maxplus II的Device列表中包含了以上系列的器件。 实验任务: 1、在图形编辑区通过逻辑图设计逻辑电路,再利用波形编辑区进行逻辑功能仿真,以此验证电路的逻辑功能是否正确。 2、在文本编辑区使用VHDL硬件描述语言设计逻辑电路,再利用波形编辑区进行逻辑功能仿真,以此验证 目录 第一章Maxplus II的安装 第二章介绍Maxplus II §2.1 工作主界面 §2.2 逻辑设计编辑区 §2.3 内附逻辑函数库 §2.4 工具栏 第三章或非门NOR设计范例 §3.1 使用逻辑图设计NOR §3.2 使用VHDL设计NOR

第一章Maxplus II的安装 一、Maxplus II V10.1 安装软件包括以下文件: 二、安装流程:打开→打开→双击→进入安装 安装结束后, 在目标地址产生三个 文件夹, 进入Maxplus工作界面的图标放在Maxplus2文件夹中,你也可以从Windows开始菜单 栏上的图标进入工作界面,但要进入编辑区时却被一条信息拦阻,因为需要安装软件运行执照(License)。 三、执照(License)安装:合法的安装途径是到www . https://www.wendangku.net/doc/b314436797.html,注册下载。 给同学们提供的安装软件携带了License文件,它放在补丁文件夹中。可以用两种方法安装License: 一种是将补丁 中的文件复制到 Maxplus2文件夹 中,双击Updata to 10.12文件夹内的图标和图标以激活编辑器。 另一种是从工作主界面的菜单栏,如图1-1所示,进入Options(选择)下拉菜单,选取License setup…,打开License setup对话框,如图1-2所示,由Browse找到License所在的路径,加入到License File or Server Name 输入框中,点击OK即可。

MAXPLUS2基本元件库

MAXPLUS2基本元件库 打开图形编辑器(graphic editor),双击窗口,就可出现元件选项下拉菜单; 在c:\windows\temporary internet files 中,保存有用户自己编制的元件(实体); 在c:\maxplus2\max2lib\prim中,有各类基本门电路及输入/输出端口; c:\maxplus2\max2lib\mf中,有74系列各类数字集成电路 c:\maxplus2\max2lib\mega_lpm中为可调参数库,包括参数化模块,功能复杂的高级功能模块,如可调模值的计数器,FIFO,RAM等 c:\maxplus2\max2lib\edif中元件的功能和mf库类似 现将常用的prim和mf库中的主要元件罗列如下: c:\maxplus2\max2lib\prim and12 and2 and3 and4 and6 and8 一般与门 band12 band2 band3 band4 band6 band8 输入反向与门= 或非门 bdir bdirc 双向端口、可控双向端口 bnand12 bnand2 bnand3 bnand4 bnand6 bnand8 输入反向与非门= 或门 bnor12 bnor2 bnor3 bnor4 bnor6 bnor8 输入反向或非门= 与门 bor12 bor2 bor3 bor4 bor6 bor8 输入反向或门= 与非门 carry cascade globol exp icell mcell opndrn sclk soft wire constant 常量gnd 接地latch D锁存器 dff dffe 带低电平置位/清零的D触发器,后者带使能端 input inputc 输入端口 jkff jkffe 带低电平置位/清零的JK触发器,后者带使能端 nand12 nand2 nand3 nand4 nand6 nand8 与非门 nor12 nor2 nor3 nor4 nor6 nor8 或非门 not 反相器 or12 or2 or3 or4 or6 or8 或门 output outputc 输出端口param 参量 srff srffe 带低电平置位/清零的RS触发器,后者带使能端 tff tffe 带低电平置位/清零的t触发器,后者带使能端 title 标题框tri 三态门vcc 正电源 xnor xor 同或、异或 c:\maxplus2\max2lib\mf 161mux 16选1 * 81mux 16cudslr 16cudslr 16位计数/移位* 16dmux 16ndux 4-16 译码器* 21mux 2选1 * 2x8mux 8位2选1 * 4count 4位计数器* 74系列电路的分类: “74”指的是商用器件,“54”是军用的,它们的适用温度范围不同,军用的范围大。商用:0℃~70℃;军用:-55℃~125℃。 LS等是子系列的名称。L:低功耗H:高速S:肖特基LS:低功耗消特基ALS:先进低功耗肖特基AS:先进肖特基LS:低功耗消特基 简单门电路 7400 4nand2 7402 4nor2 7404 6not 7408 4and 7410 3nand3

DesignBuilder操作手册

DesignBuilder软件操作手册 注意事项: 1.安装时需要注意的问题:安装目录及整个路径须为全英文,不能出现中文字符,否则安装后不能正常打开。 2.关于证书:由于试用版只有三个月的试用期,因此软件使用三个月后可以将系统日期修改至有效期内以继续使用。 3.基本概念: 1)在DesignBuilder中将模型数据分为6个层次,分别为“场地”、“建筑”、 “块”、“区域”、“表面”、“子表面”。默认数据都是从上一层次 的数据所继承而来,如:“块”数据来自于“建筑”数据,而“区域” 数据则是来自于“块”数据等等,所以如果对上级层次中的数据进行了 变动,那么其下属层次中该数据都得到了修改。这一点可以让使用者输 入更少的数据,提高建模效率。 2)在层次分类中,可以将site看作一个小区,building是一栋建筑,block 可以看作一层楼,zone则是一个房间,这样方便理解。 1.开始界面: 界面左侧为最近使用过的文件,通过双击鼠标或者单击界面右侧“信息,帮助栏”中的“Open selected site(打开已选择的场地)”可以打开该文件。 也可以通过或者界面右侧“信息,帮助栏”中的“Create new site(建立新的位置)”来创建一个新的dsb文件。 1

2 2.引导界面: 当用户从“开始界面”开始一项新工作时会出现引导界面 从该界面可以修改新任务的文件名(Title ),位置(Location ),分析类型(Analysis type )。 3.界面:屏幕最上方为菜单栏,下面为工具条,屏幕中央从左至右分为导航界面、编辑界面以及信息板/模型数据界面。

LBA4404电击 电转感受态细胞使用说明

LBA4404电击/电转感受态细胞 LBA4404 Electroporation Competent Cell LBA4404电击/电转感受态细胞基因型: Ach5 (rif r) Ti pAL4404 (strep r) Octopine LBA4404电击/电转感受态细胞说明: LBA4404菌株为Ach5型背景,核基因中含有筛选标签——利福平抗性基因rif,为了便于转化操作,此菌株携带一无自身转运功能的章鱼碱型Ti质粒pAL4404,此质粒含有vir基因(vir 基因是T-DNA插入植物基因组必需的元件,pAL4404质粒自身的T-DNA转移功能被破坏,但可以帮助转入的双元载体T-DNA顺利转移)。pAL4404型Ti质粒含有筛选标签:strep,赋予LBA4404菌株链霉素抗性,适用于菸草、番茄、烟草等植物的转基因操作。唯地生物开发的LBA4404电转感受态特别适用于大质粒的转化:经pCAMBIA2301质粒(size:11633 bp)检测转化效率>105 cfu/μg DNA;经pCAMBIA2301-ZH质粒(size:40 kd)检测转化效率可达103 cfu/μg DNA。 LBA4404电击/电转感受态细胞操作方法: 1.0.1 cm电击杯和杯盖从储存液中拿出倒置于干净的吸水纸上5分钟,待其沥干水分,正 置5分钟,使乙醇充分挥发,待乙醇挥发干净立即插入冰中,压实冰面,电极杯顶离冰面 0.5 cm以方便盖上杯盖,冰中静置5分钟充分降温。 2.取-80℃保存的农杆菌感受态插入冰中5分钟,待其融化,加入1-5 μg质粒DNA(质 粒体积不大于6ul,最好用试剂盒抽提,双蒸水溶解),用手拨打管底混匀,立即插入冰中,用200μl枪头将感受态-质粒混合物快速移到电击杯中,盖上杯盖,空管保留待用。 3.启动电转仪,设置参数:C=25 μF,PC=200 ohm,V=2400 V(此参数为Biorad 推 荐,使用者也可按所用电转仪推荐的protocol操作),将电击杯快速放入电转槽中,电击完成快速插入冰中,加入700 μl无抗生素的LB并转移到感受态空管中,28℃振荡培养2~3小时。 4.6000 rpm离心一分钟收菌,留取100 μl左右上清轻轻吹打重悬菌块涂布于含相应抗生 素的LB或YEB平板上,倒置放于28℃培养箱培养2-3天(当平板只含有50 μg/ml kan 时,28℃培养48 h即可;平板中同时加入50 μg/ml kan,20 μg/ml rif 时,需

ORACLE RMAN操作手册

ORACLE RMAN操作手册 RMAN可以用来备份和还原数据库文件、归档日志和控制文件。它也可以用来执行完全或不完全的数据库恢复。 注意:RMAN不能用于备份初始化参数文件和口令文件。 RMAN启动数据库上的Oracle服务器进程来进行备份或还原。备份、还原、恢复是由这些进程驱动的。 RMAN可以由OEM的Backup Manager GUI来控制 DB1 (target db) IP:10.204.200.101 SID: test DB2 (catalog db) IP:10.204.200.102 SID:orcl 一打开数据库归档 查看 SQL> archive log list Database log mode No Archive Mode Automatic archival Disabled Archive destination /oracle10/app/oracle/product/10.2.0/dbs/arch Oldest online log sequence 1 Current log sequence 2 设置 alter system set log_archive_dest_1="LOCATION=/oradata/archive MANDATORY REOPEN" scope=spfile; 启动到mount SQL> startup mount; ORACLE instance started. Total System Global Area 167772160 bytes Fixed Size 1218316 bytes Variable Size 83888372 bytes Database Buffers 79691776 bytes

Maxplus软件的基本操作实验报告

实验一Maxplus软件的基本操作 一、实验目的 1.熟悉Maxplus软件的基本操作,了解各种设计方法(原理图设计、文本设计、波形设计) 2.用逻辑图和VHDL语言设计一个异或门。 二、实验内容 1.用VHDL语言设计一个异或门 2.用Maxplus软件仿真波形图 3.设计芯片 三、实验方法 1.启动Maxplus II 2.新建一个文本编辑文件,输入异或设计的VHDL语言 3.编译。点击file→save as,保存文件名为entity名称,扩展名为vhd,选择芯片类型为EPF10K20TI144-4,保存并编译,出现0 error,0 warnings则编译通过。 4.仿真波形。点Max+plus II→Waveform editor,出现波形图的设置界面,然后点Node→Enter Nodes from SNF→list,将输入输出端添加到界面,并设置其周期和输入波形,保存后,点Max+plus II→Simulator,即可仿真出输出的波形。 5.设计芯片。点Max+plus II→Floorplan editor,将Unassigned Nodes & 栏中,电路的输入输出节点标号直接用鼠标“拖到” 想分配的引脚上 (a:88,b:89,c:12),点Max+plus II→programmer→configuer,然后就可以操作试验箱,观察异或门的工作情况。 四、实验过程 异或门(XOR) 用途:异或门是一种用途广泛的门电路。典型应用是作为加法器的单元电路。

逻辑图 真值表 A B OUT 0 0 0 0 1 1 1 0 1 1 1 0 VHDL程序 数据流描述: 波形图 芯片引脚分配

EPI400电击感受态细胞使用手册

EPI400电击感受态细胞 EPI400 Electroporation Competent Cell EPI400电击感受态细胞基因型: F- mcrA Δ(mrr-hsdRMS-mcrBC) Φ80dlacZΔM15 ΔlacX74 recA1 endA1 araD139 Δ(ara, leu)7697 galU galK λ- rpsL (StrR) nupG trfA tonA pcnB4 dhfr EPI400电击感受态细胞说明: EPI400电击感受态细胞只能用于电击转化,不能用于热激转化。该菌株来源于EC100菌株,将EC100核基因中控制质粒拷贝数的pcnB基因删除后引入一个诱导启动子驱动的pcnB基因,即是EPI400菌株。EPI400菌株可以降低质粒的拷贝数,特别适合于各种不稳定DNA 或毒性基因的克隆,在加入诱导剂CopyCutter Induction Solution后又可以提高质粒产量到正常状态。[mcrA, Δ(mrr-hsdRMS-mcrBC)]基因型使EPI400菌株适合于克隆富含甲基胞嘧啶或甲基腺嘌呤的DNA。recA1和endA1的突变有利于插入DNA的稳定和高纯度质粒DNA的提取。lacZΔM15标记的存在使DH10B可用于蓝白斑筛选,tonA赋予其抗噬菌体T1和T5的能力,rpsL赋予其链霉素抗性。EPI400电击感受态细胞适用于不稳定DNA 或毒性基因的克隆,经特殊工艺制作,pUC19质粒检测转化效率>1010 cfu/μg DNA。 EPI400电击感受态细胞操作方法: 1. 0.1cm 电击杯和杯盖从储存液中拿出倒置于干净的吸水纸上5分钟,待其沥干水分,正置5分钟,使乙醇充分挥发,待乙醇挥发干净立即插入冰中,压实冰面,电极杯顶离冰面0.5 cm 以方便盖上杯盖,冰中静置5分钟充分降温。 2. 取-80℃保存的EPI400电击感受态细胞插入冰中5 分钟,待其融化,加入目的DNA (质粒或连接产物)并用手拨打EP管底轻轻混匀,避免产生气泡,立即插入冰中。 A. 测定转化效率使用1 μl 10 pg/μl的对照质粒pUC19; B. 对于连接产物,请用乙醇沉淀DNA后加入适量TE缓冲液(10mM Tris HCl, pH7.5; 1mM EDTA)重悬,DNA浓度不超过100ng/μl,体积不超过5μl/50μl感受态。 3. 用200 μl枪头将感受态-DNA混合物快速移到电击杯中,避免产生气泡,盖上杯盖。 4. 启动电转仪,设置参数:C=25 μF,PC=200 Ω,V=1.8 kV (此为BioRad 电转仪推荐参数,也可按所用电转仪推荐的参数操作),将电击杯快速放入电转槽中,电击完成快速插入冰中。 5. 2min后从冰中取出电击杯,放室温,加入700 μl不含抗生素的无菌SOC培养基(室温),

Max+plusⅡ操作简介(主要)

Max+plusⅡ系统的操作简介 Max+plusⅡ开发工具是美国Altera公司自行设计的一种软件工具,其全称为Multiple Array Matrix and Programmable Logic User System。它具有原理图输入和文本输入(采用硬件描述语言)两种输入手段,利用该工具所配备的编辑、编译、仿真、综合、芯片编程等功能,将设计电路图或电路描述程序变成基本的逻辑单元写入到可编程的芯片中(如FPGA芯片),作成ASIC芯片。它是EDA设计中不可缺少的一种工具。 通过一个简单的二输入与门电路设计范例介绍:利用Max+plusⅡ系统 (1)如何编写VHDL程序(使用Text Editor); (2)如何编译VHDL程序(使用Compiler); (3)如何仿真验证VHDL程序(使用Waveform Editor,Simulator); (1)建立和编写一个VHDL语言的工程文件 首先启动Max+plusⅡ系统,启动后系统进入主菜单画面,在主菜单上有5个选项,分别是:Max+plusⅡ、File、Assign、Options和Help。 Max+plusⅡ系统主窗口 (a)打开文本编辑器;用鼠标点击File选项,点击子菜单中的New选项,接着屏幕会出现New的对话框。在对话框内有4种编辑方式:图形编辑、符号编辑、文本编辑和波形编辑。VHDL文件属于文本,那么应该选择文本编辑方式,点击OK按钮,屏幕上将出现一个无名的编辑窗口,则系统进入文本编辑状态。(或用鼠标点击Max+plusⅡ选项,点击子菜单中Text Editor选项.。)

打开文本编辑器 (b)在编辑窗口中进行编辑输入,输入相应的描述语句。 文本编辑窗口中编辑输入[例1] 实现2输入与门的VHDL描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY and2 IS PORT(a, b : IN STD_LOGIC; y: OUT STD_LOGIC); END and2; ARCHITECTURE one OF and2 IS BEGIN y<= a and b; END one;

modelsim简单教程

ModelSim SE简明操作指南批处理模式仿真必须运行在DOS或UNIX提示符下。 1.生成一个新目录,设置成当前工作目录。拷贝..\examples\counter.vhd到该目录下。 2.生成一个新的设计库:vlib work 3.映射库:vmap work work 4.编译源文件:vcom counter.vhd 5.使用宏文件为计数器提供激励。拷贝..\example\stim.do文件到当前工作目录中。 6.生成批处理文件,内容为: add list –decimal * do stim.do write list counter.lst 7.执行下面的命令,运行批处理模式仿真: vsim –do yourfile –wlf saved.wlf counter "在名为“counter”的设计单元调用vsim仿真器 "通过-wlf这个可选项通知仿真器在名为saved.wlf的日志文件中保存仿真结果 "运行yourfile指定:值以十进制的方式列示出来;执行名为stim.do的激励;并将结果写到名为counter.lst的文件中。缺省的设计名为counter。 8.浏览仿真结果vsim –view saved.wlf 9.打开一些窗口view signals list wave 10.在窗口中放置信号add wave * add list * 11.运用Variables windows实验保存的仿真结果。完成了结束仿真: quit –f 有关批处理和命令行模式更多的信息,请参阅ModelSim User’s Manual。第七课Executing Commands at startup 本课与第六课所介绍的工作于相同的目录,也是以命令行方式操作。 1.这里将用到宏文件(DO)提供启动信息。拷贝..\examples\startup.do到当前工作目录。 2.拷贝modeltech目录下的modelsim.ini文件到当前工作目录。然后编辑该文件,指定一个在设计导入之后被执行的命令。用notepad打开ini文件,取消下属语句的注释,它位于文件的[vsim]部分:(修改后保存) Startup=do startup.do 3.浏览这个DO文件,可以发现它用了一个预定义变量$entity来为不同的设计在启动时作不同的事情。 4.键入以下指令指定将被仿真的顶级设计单元,开始仿真:vsim counter 注意到没有显示对话框仿真器就导入了设计单元。对于一再地仿真同一个设计单元,这样做是很便捷的。还可以注意到所有的窗口都打开了,这是因为命令view *包括在启动宏里面。 5.结束ModelSim,执行quit –f命令。 6.在其他例子中是不需要startup.do文件的,所以用文本编辑器注释掉modelsim.ini 文件中的Startup这一行。第八课Finding names and values Finding items by name in tree windows 你可以使用各个窗口(List,Process,Signal,Source,Structure,Variables,and Wave window)中的查找对话框找寻你需要的HDL条目。Edit\FindSearching for item values in the List and Wave windows

华为FPGA设计流程指南

华为F P G A设计流程指 南 文档编制序号:[KKIDT-LLE0828-LLETD298-POI08]

FPGA设计流程指南 前言 本部门所承担的FPGA设计任务主要是两方面的作用:系统的原型实现和ASIC的原型验证。编写本流程的目的是: ●在于规范整个设计流程,实现开发的合理性、一致性、高效 性。 ●形成风格良好和完整的文档。 ●实现在FPGA不同厂家之间以及从FPGA到ASIC的顺利移植。 ●便于新员工快速掌握本部门FPGA的设计流程。 由于目前所用到的FPGA器件以Altera的为主,所以下面的例子也以Altera为例,工具组合为 modelsim + LeonardoSpectrum/FPGACompilerII + Quartus,但原则和方法对于其他厂家和工具也是基本适用的。

目录

1. 基于HDL 的FPGA 设计流程概述 设计流程图 说明: ● 逻辑仿真器主要指modelsim ,Verilog-XL 等。 ● 逻辑综合器主要指LeonardoSpectrum 、Synplify 、FPGA Express/FPGA Compiler 等。 ● FPGA 厂家工具指的是如Altera 的Max+PlusII 、QuartusII ,Xilinx 的Foundation 、Alliance 、等。 关键步骤的实现 功能仿真

说明: “调用模块的行为仿真模型”指的是RTL代码中引用的由厂家提供的宏模块/IP,如Altera 提供的LPM库中的乘法器、存储器等部件的行为模型。 逻辑综合 一般来说,对FPGA设计这一步可以跳过不做,但可用于debug综合有无问题。 布局布线

EHA105电击 电转感受态细胞使用说明

EHA105电击/电转感受态细胞 EHA105 Electroporation Competent Cell EHA105电击/电转感受态细胞基因型: C58 (rif r) Ti pEHA105 (pTiBo542DT-DNA) Succinamopine EHA105电击/电转感受态细胞说明: EHA105菌株由EHA101菌株改造而来,为C58型背景,核基因中含有筛选标签——利福平抗性基因rif,为了便于转化操作,此菌株携带一无自身转运功能的琥珀碱型Ti质粒pEHA105 (pTiBo542DT-DNA),此质粒含有vir基因(vir基因是T-DNA插入植物基因组必需的元件,pEHA105 (pTiBo542DT-DNA)质粒自身的T-DNA转移功能被破坏,但可以帮助转入的双元载体T-DNA顺利转移)。EHA105菌株适用于水稻、烟草等植物的转基因操作。唯地生物开发的EHA105电转感受态特别适用于大质粒的转化:经pCAMBIA2301质粒(size:11633 bp)检测转化效率>105 cfu/μg DNA;经pCAMBIA2301-ZH质粒(size:40 kd)检测转化效率可达5×103 cfu/μg DNA。 EHA105电击/电转感受态细胞操作方法: 1.0.1 cm电击杯和杯盖从储存液中拿出倒置于干净的吸水纸上5分钟,待其沥干水分,正 置5分钟,使乙醇充分挥发,待乙醇挥发干净立即插入冰中,压实冰面,电极杯顶离冰面 0.5 cm以方便盖上杯盖,冰中静置5分钟充分降温。 2.取-80℃保存的农杆菌感受态插入冰中5分钟,待其融化,加入1-5 μg质粒DNA(质 粒体积不大于6ul,最好用试剂盒抽提,双蒸水溶解),用手拨打管底混匀,立即插入冰中,用200 μl枪头将感受态-质粒混合物快速移到电击杯中,盖上杯盖,空管保留待用。 3.启动电转仪,设置参数:C=25 μF,PC=200 ohm,V=2400 V(此参数为Biorad 推 荐,使用者也可按所用电转仪推荐的protocol操作),将电击杯快速放入电转槽中,电击完成快速插入冰中,加入700 μl无抗生素的LB并转移到感受态空管中,28℃振荡培养2~3小时。 4.6000 rpm离心一分钟收菌,留取100 μl左右上清轻轻吹打重悬菌块涂布于含相应抗生 素的LB或YEB平板上,倒置放于28℃培养箱培养2-3天(当平板只含有50 μg/ml kan 时,28℃培养48 h即可;平板中同时加入50 μg/ml kan,20 μg/ml rif 时,需

MAX+plus2的安装与下载

Part I--ALTERA MAXPLUS II軟體使用操作 單元<一>軟體的安裝與基本操作使用 1.1 ALTERA MAX+plus II軟體介紹 1.2 ALTERA MAX+plus II軟體的下載及安裝 1.3 取得本機電腦的硬碟序號 1.4 ALTERA MAX+plus II軟體的下載 1.5 ALTERA MAXplus II軟體的使用註冊申請 1.6 ALTERA MAX+plus II軟體基本使用說明(getting start tutorial)及演練1.7 實驗單元 LAB1:輸入各種邏輯閘 LAB2:三人投票機電路 LAB3:disbounce電路 ----------------------------------------------------------------------------------- 1.1 ALTERA MAX+plus II軟體介紹

1.2 ALTERA MAX+plus II軟體的下載及安裝 要安裝ALTERA MAX+plus II軟體最方便快速的方式是執行本書所附的光碟片上的軟體安裝程式,本書所提供的為MAX+plus II Baseline 10.2版軟體,請依照下列步驟進行軟體安裝。 Step1.首先將光碟放置於光碟機中,電腦會自動啟動安裝程式,其畫面如下圖,若安裝程式未自動啟動時可直接執行光碟片中的autorun.exe程式亦可進行MAX+plus II Baseline 10.2版軟體安裝(桌面=>【我的電腦】=>檢視光碟檔案=>以滑鼠左鍵連續點選autoexec.exe或baseline10_2.exe執行軟體安裝程式),這套軟體亦可從ALTERA公司的網站下載(https://www.wendangku.net/doc/b314436797.html,/),下載的詳細過程可參照下ㄧ小節的說明。 Step 2.開始進行安裝的畫面如下 Step 3. 歡迎安裝的畫面,並且建議在進行下ㄧ步驟前關閉所有其他視窗程式,在本畫面中有禁止未授權的軟體重製或散佈的警告訊息,所以在未授權下切勿將軟體重製或散佈,以免觸法。點選【Next】進行軟體安裝,若中斷安裝可點選【Cancel】取消安裝。

相关文档