文档库 最新最全的文档下载
当前位置:文档库 › 彩虹灯 单片机

彩虹灯 单片机

彩虹灯 单片机
彩虹灯 单片机

课程设计说明书

(2009 /2010学年第二学期)

课程名称:单片机

题目:简易多彩广告灯控制设计

专业班级:

学生姓名:

学号:

指导教师:王立国韩昱苗敬利

设计周数:2周

设计成绩:

7月8 日

1、课程设计目的*************************************************

2、课程设计具体要求********************************************

3、硬件设计

(1)AT89C52简介********************************************* (2)方案设计************************************************* (3)单元电路设计********************************************* (4)元件列表************************************************* (5)原理图*************************************************** (6)系统调试************************************************* 4、软件设计

(1)系统分析************************************************* (2)系统设计************************************************* (3)系统实施及程序*******************************************

5、课程设计总结**************************************************

6、参考文献******************************************************

1、课程设计目的

1)进一步熟悉和掌握单片机的结构及工作原理。

2)掌握单片机的接口技术及相关外围芯片的外特性,控制方法。

3)通过课程设计,掌握以单片机核心的电路设计的基本方法和技术

4)通过实际程序设计和调试,逐步掌握模块化程序设计方法和调试技术。

5)通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,为今后从事相应打下基础。

2、课程设计具体要求

本次课程设计要求设计制作一个以单片机为核心的多色彩广告灯闪烁控制系统:1 确定总体设计方案;

2 设计键盘输入电路;

3 设计显示电路;

4 设计彩灯控制电路;

5 编写控制程序

3、硬件设计

3.1 AT89C52简介

AT89C52是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable an d Erasable Read Only Memory)的低电压,高性能CMOS8位微处理器,俗称单片机。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的AT89C51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。

1.主要特性:

?与AT89C51 兼容

?4K字节可编程闪烁存储器

寿命:1000写/擦循环

数据保留时间:10年

?全静态工作:0Hz-24Hz

?三级程序存储器锁定

?128*8位内部RAM

?32可编程I/O线

?两个16位定时器/计数器

?5个中断源

?可编程串行通道

?低功耗的闲置和掉电模式

?片内振荡器和时钟电路

2.管脚说明:

VCC:供电电压。

GND:接地。

P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TT L门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。

P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个T TL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。

P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。

P3口也可作为AT89C52的一些特殊功能口,如下表所示:

口管脚备选功能

P3.0 RXD(串行输入口)

P3.1 TXD(串行输出口)

P3.2 /INT0(外部中断0)

P3.3 /INT1(外部中断1)

P3.4 T0(记时器0外部输入)

P3.5 T1(记时器1外部输入)

P3.6 /WR(外部数据存储器写选通)

P3.7 /RD(外部数据存储器读选通)

P3口同时为闪烁编程和编程校验接收一些控制信号。

RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。

ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在F LASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在S

FR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。

/PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。

/EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加5V编程电源(VPP)。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。

XTAL2:来自反向振荡器的输出。

3.振荡器特性:

XTAL1和XTAL2分别为反向放大器的输入和输出。该反向放大器可以配置为片内振荡器。石晶振荡和陶瓷振荡均可采用。如采用外部时钟源驱动器件,XTAL2应不接。有余输入至内部时钟信号要通过一个二分频触发器,因此对外部时钟信号的脉宽无任何要求,但必须保证脉冲的高低电平要求的宽度。

3.2 CD4511显示译码管

CD4511是一个用于驱动共阴极LED (数码管)显示器的BCD 码—七段码译码器,特点:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉

电流。可直接驱动LED显示器。

CD4511 是一片CMOS BCD—锁存/7 段译码/驱动器,引脚排列如图1 所示。其中a b c d 为BCD 码输入,a为最低位。LT为灯测试端,加高电平时,显示器正常显示,加低电平时,显示器一直显示数码“8”,各笔段都被点亮,以检查显示器是否有故障。BI为消隐功能端,低电平时使所有笔段均消隐,正常显示时,B1端应加高电平。另外CD4511有拒绝伪码的特点,当输入数据越过十进制数9(1001)时,显示字形也自行消隐。LE是锁存控制端,高电平时锁存,低电平时传输数据。a~g是7 段输出,可驱动共阴LED数码管。另外,CD4511显示数“6”时,a段消隐;显示数“9”时,d段消隐,所以显示6、9这两个数时,字形不太美观图3是CD4511和CD4518配合而成一位计数显示电路,若要多位计数,只需将计数器级联,每级输出接一只CD4511 和LED 数码管即可。所谓共阴LED 数码管是指7 段LED 的阴极是连在一起的,在应用中应接地。限流电阻要根据电源电压来选取,电源电压5V时可使用300Ω的限流电阻。

图1 CD4511引脚图

图2 CD4511各引脚接线图

其功能介绍如下:

BI:4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态如何,七段数码管均处于熄灭(消隐)状态,不显示数字。

LT:3脚是测试输入端,当BI=1,LT=0 时,译码输出全为1,不管输入DCBA 状态如何,七段均发亮,显示“8”。它主要用来检测数码管是否损坏。

EL:锁定控制端,当LE=0时,允许译码输出。LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。

A1、A2、A3、A4、为8421BCD码输入端。a、b、c、d、e、f、g:为译码输出端,输出为高电平1有效。CD4511具有锁存、译码、消隐功能,通常以反相器作输出级,通常用以驱动LED。

各引脚的名称:其中7、1、2、6分别表示A、B、C、D;5、4、3分别表示LE、BI、LT;

13、12、11、10、9、15、14分别表示a、b、c、d、e、f、g。左边的引脚表示输入,右边表示输出,还有两个引脚8、16分别表示的是VDD、VSS。

(2).锁存功能:译码器的锁存电路由传输门和反相器组成,传输门的导通或截止由控制端LE 的电平状态。

(3)译码:CD4511译码用两级或非门担任,为了简化线路,先用二输入端与非门对输入数据B、C进行组合,得出四项,然后将输入的数据A、D一起用或非门译码。

(4)消隐

BI为消隐功能端,该端施加某一电平后,迫使B端输出为低电平,字形消隐。

3.3、数码管

数码管可以分为共阳极与共阴极两种,共阳极就是把所有LED的阳极连接到共同接点com,而每个LED的阴极分别为a、b、c、d、e、f、g及 dp(小数点);共阴极则是把所有LED的阴极连接到共同接点com,而每个LED的阳极分别为a、b、c、d、e、f、g及dp(小数点)。

图9 共阴极内部接线图

此设计采用的是共阴极数码管,共阴极的LED显示管的发光二极管的阳极连在一块,通常此公共阴极接地,当某个发光二极管的阳极为高电平的时候,发光二极管点亮,相应的段被显示出来,为了使LED显示器不同的符号和数字,就要把不同段的发光二极管点亮,这样就要为LED显示器提供代码,因为这些代码可以使LED相应的段发光,从而显示不同的字型,因而该代码称之为段码。

3.4方案设计

(1)根据课程设计要求设计相应电路图

(2)确定相应器件型号及类型

(3)根据电路图排列器件顺序,焊制电路板

(4)检查电路板及元器件是否正常导通

(5)根据实验要求编辑相应程序

(6)仿真检测电路板及程序是否正常工作

(7)接通电源调试单片机

3.5单元电路设计

(1)发光二极管设计

(2)按键电路设计

(3)数码管显示设计

3.6元件列表

3.7原理图

3.8系统调试

(1)硬件调试

(2)软件调试

(a)仿真机上测试程序(b)单片机上调试程序

4软件设计

4.1系统分析

(1)按键分析

(2)数码管显示分析(3)发光二极管控制分析4.2系统设计

(1)按键设计

(2)数码管显示设计(3)发光二极管控制设计4.3系统实施及程序

ORG 0H

AJMP MAIN

MAIN:

MOV R4,#0

MOV R5,#0

MOV R6,#0

START: SETB P2.0

SETB P2.1

MOV P1,#0FFH

ACALL DISPLAY

JNB P2.1,JIA

JNB P2.0,CAI

AJMP START CAI:CJNE A,#01H,NEXT D2: MOV A,R4

MOV R3 , #0FEH

MOV A,R3

RL A

MOV P1,A

JNB P2.1,JIA

ACALL DEY1S

JNB P2.1,JIA

ACALL D2

NEXT:CJNE A,#02,NEXT1

D3: MOV R3,#0FEH

MOV A,R3

RR A

MOV P1,A

JNB P2.1 ,JIA

ACALL DEY1S

JNB P2.1 ,JIA

ACALL D3

NEXT1:CJNE A,#03,NEXT2

D4:MOV R3,#0FEH

MOV A,#0FEH

OP1:MOV P1,R3

ANL A,R3

MOV R3,A

RL A

JNB P2.1 ,JIA

ACALL DEY1S

JNB P2.1, JIA

JNB ACC.0 ,D4

AJMP OP1

NEXT2:CJNE A,#10,NEXT3

MOV R3,#55H

MOV A,R3

DP10:MOV P1,A

JNB P2.1 ,JIA

ACALL DEY1S

JNB P2.1 ,JIA

CPL A

AJMP DP10 NEXT3:AJMP START JIA: MOV P1,#0FFH

INC R4

MOV A,R4

DA A

MOV R4,A

ANL A,#0FH

MOV R6,A

MOV A,R4

ANL A,#0F0H

SWAP A

MOV R5,A

CJNE R4,#11H,DP

RET

DP:AJMP MAIN

DEY1S:

MOV R6,#47H

DL0:MOV R5,#02H

DJNZ R5,$

DJNZ R6,DL0

RET

DISPLAY:

CLR P2.2

CLR P2.3

MOV DPTR,#TAB

MOV A,R5

MOVC A,@A+DPTR

MOV P0,A

SETB P2.2

ACALL DEY10MS

CLR P2.2

MOV A,R6

MOVC A,@A+DPTR

MOV P0,A

SETB P2.3

ACALL DEY10MS

CLR P2.3

RET

DEY10MS: MOV 33H,#100

LOOP1: MOV 34H,#50

LOOP: DJNZ 34H,LOOP

DJNZ 33H,LOOP1

RET

TAB: DB 00H,01H,02H

DB 03H,04H,05H

DB 05H,06H,07H

DB 08H,09H

5 课程设计总结

创新可以是在原有的基础上进行改进,使之功能不断完善,成为真己的东西。

这个设计过程中,我们根据课程设计要求,使单片机完成实验要求,使之成为一个更加适用,功能更加完备的属于自己的一个系统。通过这次单片机课程设计,我不仅加深了对单片机理论的理解,将理论很好地应用到实际当中去,而且我还学会了如何去培养我们的创新精神,从而不断地战胜自己,超越自己。

设计结果能够符合题意,成功完成了此次实习要求,我们不只在乎这一结果,更加在乎的,是这个过程。这个过程中,我们花费了大量的时间和精力,更重要的是,我们在学会创新的基础上,同时还懂得合作精神的重要性,学会了与他人合作。

6 参考文献

霓虹灯灯控制系统的课程设计

前言 根据在常熟理工这半年对于自动化专业的学习,尤其是电工学、自动控制原理以及电力电子技术等专业课程的学习,让我对于PLC课程设计打下了基础。对于我们自动化专业来讲,这个专业对于现实生活是非常有用的。而我们在这半年进行的大都是理论知识,虽有实验课程,但那也是基于对于理论知识的进一步分析,故而我们也非常需要一种把我们理论应用到实际的实习锻炼。我们的老师,根据我们自动化专业的特点,以及学生日常学习的反应给与了我们四个课题去进行实习:进行PLC编程的语言设计。 PLC可以说是我们来到常熟理工学院时学习最苛刻也是以后工作时实用的一门课程。和学别的科学一样,在学完PLC理论课程后我们做了课程设计,此次设计一分组的方式进行,老师进行抽挑课题,可以说每人的程序都不一样。我抽到的就是霓虹灯灯控制系统的设计。虽然说平时理论的学习成绩还可以,但是真要自己去设计这个程序,还真是束手无策。还好有我小组的其他成员,他们帮组我解决了不少难题,合作是成功的基础。 通过这次的课程设计,我学会了PLC的基本编程方法,以及对PLC的工作原理和操作步骤有了深刻的理解。在对理论的运用中,提高了我们的工程素质,在没有做课程设计以前,我对其的掌握都停留在思想上,许多次出现了问题总是卡在那里,却很难知道问题出在哪里,实践检验成果,理论只是基础。 本设计中详细讲解了霓虹灯等控制系统中三个不同的表现方式,如依次点亮,全亮全灭等等,我组的其他成员还有更多显示方式,在此不一一介绍。 本设计程序得到了常熟理工学院老师的大力辅导,在此深表谢意。 由于编者水平有限,错误与不妥之处,敬请原谅

目录 目录 (2) 一.课程设计任务书 (3) 1.1课程设计任务.....................................................................................错误!未定义书签。 1.2课程设计目的.....................................................................................错误!未定义书签。 1.3课程设计要求.....................................................................................错误!未定义书签。 1.4课程设计内容.....................................................................................错误!未定义书签。二.PLC的简介.. (5) 2.1PLC基本概念 (5) 2.2PLC的基本结构 (5) 2.3PLC的工作原理 (6) 三.组态王简介 (7) 3.1组态王基本特性 (7) 3.2组态王与西门子S7-200的几种通信方式 (8) 四.总体设计方案 (10) 4.1控制要求 (10) 4.2设计思路 (10) 4.3PLC外部接线图 (11) 4.4I/O分配表 (11) 4.5PLC梯形图 (13) 4.6组态王监控画面显示 (16) 五.个人小结 (17) 参考文献 (18)

基于单片机的广告灯课程设计

单片机课程设计报告书 课题名称 基于单片机的广告灯课程设计 姓 名 学 号 院 系 专 业 指导教师 2011年 6月10日 ※ ※※※※※※※※ ※※ ※※ ※※ 2008级学生单片机 课程设计

基于单片机的广告灯课程设计 1、设计目的 本设计以AT89S51单片机为核心并用它来控制发光二极管双灯点亮循环的实验装置,用AT89S51单片机控制16个发光二极管发光,实现亮点从高到低位,从左到右,从单到双的循环移动。通过PROTEL软件设计、仿真,并能从中掌握通过软件控制发光二极管的思路和技巧。这次设计重点就在于利用单片机的知识去控制系统的运行。 2、设计要求 1)广告流水灯具有控制的功能。 2)设置一个系统使广告流水灯能够规律性和周期性的闪烁功能。 3)能够使其制动化和中断的功能。 3、设计总框图与方案 图3.1系统框图 本次课程设计是用流水灯的变化来表示不同的效果。主体选用AT89S51单片机使用多个发光二极管,通过编程来实现“流水灯”的花样变化。 4、硬件电路的设计 4.1系统电路图

图4.1 广告灯的硬件原理电路图 这个电路图中都为低电位亮,高电位灭即‘0’亮‘1’灭,就这样通过查表控制‘0’与‘1’的变化来控制发光二极管的亮灭。中断中也是如此,通过取反的手段来控制灯的亮灭。按照图4.1进行仿真,通过编程来实现“流水灯”的花样变化。AT89S51的P1、P3口分别接一组发光二极管,发光二极管另一端接电源输出,故为高电平。P1、P3口输出电平的变化控制二极管的发光情况。当P1、P3口的输出电平为低时,LED灯亮;反之,不亮。 5、软件设计 5.1 流程图与程序 图5.1程序总流程图 本实验流程中,用AT89S51单片机控制16个发光二极管发光。其中二极管一端接高电平,另一端接AT89S51芯片输出端口,通过控制各输出端口高低电平的变化决定二极管是否发光,从而使广告流水灯能够规律性和周期性地分别实现一个亮灯的左右移动、一个不亮灯的左右移动、灯的从两边到中间及单双等交替闪烁等花样变化。 6、系统仿真 在Proteus的ISIS 7.1sp2软件环境下画出电路原理图,接下来就是将设计的程序在Keil C51 μVision2开发集成环境上编译成机器语言,进入Proteus 的ISIS,鼠标左键点击菜单“Debug”,选中“use romote debuger monitor”,便可实现KeilC与Proteus连接调试。首先在Proteus中双击单片机AT89C51,将KeilC下编程生成的 .HEX文件导入到AT89C51中,可在Proteus中单击全速仿真运行按钮,进行现象的查看,能清楚地观察到芯片上每一个引脚的电平变化,红色代表高电平,蓝色代表低电平;如果现象不正确,则在KeilC中单步调试程序,并在Proteus观察现象,那一步不正确则对该段的程序进行修改,调试直到仿真完全成功为止。 图6.1 Proteus软件环境下画出电路原理图 图6.2效果一

彩灯控制器课程设计数电

电子技术课程设计 ---彩灯控制器 学院:电子信息工程学院 班级: 学号: 指导教师:

彩灯控制器 一、设计任务与要求: 设计一个彩灯控制器,要求: 1.四路彩灯从左向右逐次渐亮,间隔为1秒。 2.四路彩灯从右向左逐次渐灭,间隔为1秒。 3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4 次。 二、总体框图 图(1)总体框图 根据设计要求,电路设计大体思路如下: 由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制

彩灯按照设计要现亮灭。 三、选择器件 本次课程设计所用器件如表一: 表一本次课程设计所用器件 1.同步二进制计数器74LS163

表二7-3 74LS163功能表 根据逻辑图、波形图、功能表分析,74LS163具有如下功能: 管脚图逻辑符号 1)1是同步4位二进制加法计数器,M=16,CP上升沿触发 2)2既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一 个CP上升沿配合下把四个触发器的输出置为低电平。异步清除时,直接用 清除信号的低电平把四个触发器的输出置为低电平。 3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据. 当LD = 1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。 4)PT任一为低时,计数器处于保持状态。 5) 5 CO为进位输出,可用来级联成n位同步计数器。 2.四位双向移位寄存器74LS194

基于51单片机的交通信号灯系统本科毕设论文

毕业设计 基于单片机的交通信号的灯控制系统 一. 综合实训的主要内容 1.设计任务 设计一单片机控制的交通信号灯系统,模拟城市十字路口交通信号灯功能。 2.基本功能要求 2.1 交通信号控制 直行车道红黄绿灯控制、左行车道绿灯控制、人行横道红绿灯控制。 2.2 通行时间显示 数码管倒计时显示通行时间。 2.3 时间参数设置存储 按键实现通行时间的设置,并存储到EEPROM (24C02)芯片中。 二. 硬件方案设计与论证 1. 显示模块设计 1.1倒计时时间显示 设计思想:由于该系统要求完成倒计时显示通行时间的功能,且考虑到实际的交通系统中车辆及行人通行时间不会超过一分钟,基于以上原因,我们考虑完全采用数码管显示,四个路口分别采用一个二位共阴极数码管进行显示。(其实物图见附录1图5.3) 图2.1 数码管原理图 原理图分析: 为了显示数字或字符,必须对数字或字符进行编码。七段数码管(a,b,c,d,e,f,g )加上一个小数点(dp),共计8段,构成一个字节,通过对这八段给予高低平使二极管 GND a b c d e f g dp g f e d c b a (a)

导通或截止,从而显示不同的数字或字符。系统中所使用的是2位共阴数码管(实物图见附录),其管脚从左上方起顺时针依次为1,a,b,e,d,2,g,f,dp,c。 1.2 状态灯显示 设计思想:由于该系统要求完成状态灯显示的功能,我们把各个路口的红灯和黄灯设成直行和左拐两个通行方式所共有,也就是说,一个路口只需四个状态灯,一个直行通行的绿灯,一个左拐通行的绿灯,一个共有的红灯,一个共有的黄灯,人行横道采用红绿灯控制,综上所述,我们共使用16个LED绿灯,12个LED红灯,4个LED黄灯来完成状态灯显示功能。 2.控制模块设计 2.1 设计思想 由于本系统结构简单,实现较容易,不需要大量的外围扩展,所以我们采用STC89C51单片机作为主控制器,STC89C51单片机具有体积小,功耗低,控制能力强,价格低、扩展灵活,使用方便等特点,其最小系统由振荡电路、复位电路构成。 2.2 最小系统原理图 图2.2 单片机最小系统原理图 原理图分析:51单片机最小系统由复位电路,振荡电路组成。振荡电路使用11.0592MHz高精度晶振,振荡电容选择30pF瓷片电容;复位电路采用RC电路。 3.存储模块 3.1 设计思想:系统掉电存储模块采用串行E2PROM,它是基于IIC总线的存储器件,遵循二线制协议,其具有接口方便,体积小,数据掉电不丢失等特点。 3.2 24C02芯片原理图

基于单片机汽车信号灯控制系统的设计

摘要 在生活的环境中,自动控制要求中都会有单片机的控制的一部分;从简单到复杂,凡是能想象到的地方几乎都有使用单片机的需求。单片机的应用有利于产品的小型化、多功能化和智能化,能够提高劳动效率、减轻劳动强度,提升产品质量,改善劳动环境。例如,在工业自动化方面:自动化能使工业系统处于最佳状态、提高经济效益和改善产品质量。自动化控制原理有应用于电子、电力、石油、化工、纺织、食品等轻重工业领域中,无论数据采集和测控技术,还是生产线上的机器人技术,都有单片机的参与。有时,在仪器仪表、信息和通信等产品方面,它在其中发挥着重大作用。现在,虽然单片机的应用很普遍了,但仍有许多项目尚未实现,所以单片机的应用有很大的发展空间。 本设计是设计一个单片机控制系统。在汽车进行左转弯、右转弯、刹车、合紧急开关等操作时,实现对各种信号指示灯的控制。本设计主要是对单片机的并行输入/输出口电路的应用,通过I/O口控制发光二极管的亮﹑灭﹑闪烁,加上一些复位电路﹑按键电路﹑驱动电路来模拟汽车尾灯的功能。 汽车在驾驶时有左转弯、右转弯、刹车、合紧急开关等操作。在左转弯或右转弯时,通过转弯操作杆应使左转开关或右转开关合上,从而使左头灯、左尾灯或右头灯、右尾灯闪烁;合紧急开关时要求前面所述的4个信号灯全部闪烁;汽车刹车时,两个尾灯点亮。

目录 1.绪论 (1) 1.1设计意义 (1) 1.2设计内容 (1) 1.3设计过程 (1) 2.设计的原理分析及实现 (2) 2.1系统简介 (2) 2.2硬件组成 (2) 2.3设计原理 (3) 3.应用软件简介 (4) 3.1单片机简介 (4) 3.2 AT89C51单片机简介 (4) 3.3 Proteus软件介绍 (8) 4.硬件设计 (10) 4.1 AT89C51芯片图 (10) 4.2汽车信号灯控制电路 (10) 4.2.1硬件接线图 (10) 4.2.2复位电路 (11) 4.2.3显示电路 (11) 4.2.4按键电路 (12) 4.2.5振荡电路 (13) 4.3 Proteus仿真结果 (14) 5.软件设计 (17) 5.1汽车信号灯控制程序 (17) 5.2汽车信号灯控制程序流程图 (19) 5.3利用伟福软件编译程序图 (20) 5.3.1伟福软件简介 (20) 5.3.2伟福软件编译程序图 (21) 6.心得体会 (22) 7.参考文献 (23)

霓虹灯控制系统的简易设计

摘要:本文主要设计一个基于单片机的霓虹灯控制系统。以at89c51单片机为控制核心电路,应用片内定时器实现对霓虹灯的控制。该系统由单片机的控制部分和显示部分组成,运用中断定时器控制发光二极管(或led),使其产生有规律的闪烁和移动。 关键词:单片机发光二极管红外线遥控 中图分类号:tp27 文献标识码:a 文章编号:1003-9082(2016)02-0309-01 前言 随着时代的进步,人们对物质生活的迫切追求,使周边环境发生翻天覆地的变化。从钻木取火走到今天灯火阑珊,各种繁华夜景层出不穷,让人叹为观止。这些辉煌景象都离不开电子技术。事实证明电子技术对社会的发展产生了深远的影响。随着电子技术和计算机技术的发展,特别是单片机的发展,使传统的测量仪器在原理、功能、精度及自动化水平等方面发生了巨大的变化,形成了一种完全突破传统概念的新一代测试仪器――智能仪器。智能仪器是以微处理器为核心的电子仪器,它不仅要求设计者熟悉电子仪器的工作原理,而且还要求其掌握微型计算机硬件和软件的原理。目前,有很多的传统电子仪器已有相应的替代产品,而且还出现不少全新的仪器类型和测试系统体系。在科学技术高速发展的今天,如何用简单便宜、性能良好的元器件制造出对人类生活有用的产品,已经成为人们研究的主要趋势。在自动化技术中,无论是过程控制技术还是数据采集技术还是测控技术,都离不开单片机,在工业自动化的领域中,机电一体化技术发挥越来越重要的作用。 一、总体方案设计 在本次设计中,硬件部分由单片机系统、led发光二极管组成。原理图如图1所示。单片机选用的是at89c51单片机,利用其中的一个定时器设定灯光闪烁的时间,时钟电路选用的是11.0592m的晶振。复位电路部分采用的是上电复位和手动复位两种复位方式。由于考虑到单片机i/o端口的带载能力,led发光二极管采用共阳极的接法,用470ω的电阻分压。软件部分,由于采用的是11.0592m晶振的时钟电路,单片机定时器的最大定时时间为65.536ms,不能达到要求的闪烁频率。所以采用定时50ms,10个定时中断灯光进行一次亮灭的跳变。并在每一次跳变时记录下灯闪烁的次数,通过对闪烁次数的判断,来进行对不同led灯的亮灭的整体时序循环控制。 图1 单片机的霓虹灯控制电路原理图 二、硬件电路的设计 1.单片机系统 标准型89系列单片机是与mcs-51系列单片机兼容的。在内部含有4kb或8kb可重复编程的flash存储器,可进行1000次擦写操作。全静态工作为0~33mhz,有3级程序存储器加密锁定,内含有128~256字节的ram、32条可编程的i/o端口、2~3个16位定时器/计数器,6~8级中断,此外有通用串行接口、低电压空闲模式及掉电模式。at89c51相当于将8051中的4kb rom换成相应数量的flash存储器,其余结构、供电电压、引脚数量及封装均相同,使用时可直接替换。 2. led概述 led(light?emitting?diode),发光二极管,是一种固态的半导体器件,它可以直接把电转化为光。半导体晶片由三部分组成,一部分是p型半导体,在它里面空穴占主导地位,另一端是n型半导体,在这边主要是电子,中间通常是1至5个周期的量子阱。当电流通过导线作用于这个晶片的时候,电子和空穴就会被推向量子阱,在量子阱内电子跟空穴复合,然后就会以光子的形式发出能量,这就是led发光的原理。而光的波长也就是光的颜色,是由形成p-n结的材料决定的。它是一种通过控制半导体发光二极管的显示方式,用来显示文字、图形、图像、动画、行情、视频、录像信号等各种信息的显示屏幕。由于具有容易控制、

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

基于51系列单片机的交通信号灯控制系统设计

文献检索作业 题目(中文):基于51系列单片机的交通信号灯控制系统设计(英文):Design of traffic signal lamp control system based on 51Series MCU 学院 专业班级 学生姓名 学号 完成日期2015年11月指导教师评分

上海师范大学天华学院2017届 毕业设计(论文)开题报告 设计(论文)题目基于51系列单片机的交通信号灯控制系统设计 学生姓名学号 学院指导教师姓名 建议从以下方面填写:1.简述课题的作用和意义2.国内外的现状和发展趋势等情况(文献综述),尚待解决的问题;3.重点介绍完成任务的可能思路、方案和计划;4.(工科类专业需填写)所需的主要仪器和设备等。 一、选题背景 当今,红绿灯安装在个个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这个技术在19世纪就已经出现了。 信号灯的出现,使得交通得以有效的管理,对于疏导交通流量、提高道路通行能力、减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯时通行信号灯,面对绿灯的车辆可以直行,左转弯和右转弯,除非两一种标志禁止某一种转向。左右转弯车辆必需让合法的正在路口内行驶的车辆和过人行横线的行人优先通行。红灯是禁行信号灯,面对红灯的车辆必需在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已经十分接近停车线而不能安全停车的可以进入交叉路口! 意义:1、减少交通事故,增加交通安全。 2、缓和交通拥挤、堵塞,提高运行效率。 3、节约能耗,降低车辆对环境的污染。 二、历史背景、现状及发展趋势 1、历史背景: 1.1、1868年12月10日,历史上第一盏交通信号灯出现在英国威斯敏斯特议会大楼前,这个交通信号灯高约7米,在它的顶端悬挂着红、绿两色可旋转的煤气提灯,为了将红、绿两色的提灯进行切换,在这盏灯下必须要站立一名手持长杆的警察,通过皮带拉拽提灯进行颜色的转换,后来还在这盏信号灯的中间加装了红、绿两色的灯罩,前面有红、绿两块玻璃交替进行遮挡,白天不点亮煤气灯,仅以红、绿灯罩的切换引导人们前进或停止,夜晚则将煤气灯点燃,照亮红、绿两色灯罩。

基于单片机的汽车信号灯控制系统

基于单片机的汽车信号 灯控制系统 文档编制序号:[KKIDT-LLE0828-LLETD298-POI08]

中南大学课程设计 (附代码) 20)设计一个基于单片机的汽车信号灯控制系统 设计要求:分析系统需求,设计出电路原理图,说明工作原理,编写程序及程序流程图。 设计一个基于单片机的汽车信号灯控制系统。汽车驾驶执行的操作由相应的开关状态反映,所需控制的信号灯有仪表盘左/右转弯灯、左右头灯和左右尾灯共六类灯,还有蜂鸣器喇叭控制的信号。 设计功能: 驾驶操作与灯光信号对应关系如下: (1) 左/右转弯(合上左/右开关):仪表盘左/右转弯灯、左/右头灯、左/右尾灯闪烁。 (2) 紧急开关合上:所有灯闪烁。 (3) 刹车(合上刹车开关):左右尾灯亮。 (4) 左/右转弯刹车:仪表盘左/右转弯灯、左/右头灯、左/右尾灯闪烁,右/左尾灯亮。 (5) 刹车、合上紧急开关:尾灯亮、仪表板灯、头灯闪烁。 (6) 左/右转弯刹车,并合上紧急开关:右/左尾灯亮,其余灯闪烁。 (7) 停靠(合上停靠开关):头灯、尾灯以1Hz的频率闪烁。 (8)倒车:尾灯长亮、蜂鸣器以的频率报警。 设计要求:设计出电路原理图,说明工作原理,编写程序及程序流程图。 仿真操作及现象: 1)合上左转弯开关:仪表板左转弯灯、左头灯、左尾灯闪烁。 2)合上右转弯开关:仪表板右转弯灯、右头灯、右尾灯闪烁。 3)合上紧急开关:所有灯闪烁 4)合上刹车开关:左右尾灯亮 5)合上左开关和刹车开关:仪表板左转弯灯、左头灯、左尾灯闪烁,右尾灯亮。 6)合上右开关和刹车开关:仪表板右转弯灯、右头灯、右尾灯闪烁,左尾灯亮。 7)合上刹车开关、紧急开关(紧急刹车):左右尾灯亮、左右仪表板灯、头灯闪烁。 8)合上左开关和刹车开关、紧急开关(紧急左转弯刹车):右尾灯亮,其余灯闪烁。 9)合上右开关和刹车开关、紧急开关(紧急右转弯刹车):左尾灯亮,其余灯闪烁。 10)合上停靠开关:左右头灯、尾灯以1Hz的频率闪烁

基于单片机的广告灯设计

《单片机原理及应用》 课程设计 题目∶广告灯 院系∶机电工程系 专业班级∶机电一体化机电0911 姓名∶晓寒 学号∶21 指导教师∶沈全鹏 成绩∶ 2011年12 月日

目录 中文摘要 (2) 英文摘要 (2) 绪论 (3) 第一章设计思路.目的和方案的确定 (4) 1.1设计思路 (4) 1.2设计目的 (5) 1.3方案的确定 (6) 第二章主要元器件介绍 (7) 2.1 AT89S51 (7) 2.2发光二极管 (10) 2.3石英晶振 (11) 2.4蜂鸣器 (12) 第三章电路图.程序框图 (13) 第四章电路分析及原理 (1) 5 4.1电路分析 (15) 4.2电路原理 (17) 结论 (1) 8 参考文献 (1) 9

附录: C语言程序 (20) 中文摘要 随着改革的不断深入,社会主义市场经济的不断繁荣和发展,个大中小城市都在进行亮化工程。个人企业为宣传自己企业的形象和产品,均采用广告手法之一:流水灯来实现这一目的.当我们夜晚走在大街上,马路两旁各色各样的流水灯广告均可以见到,一种是采用霓虹灯管做成的各种形状和多种彩色的灯管,另一种为日光等管或白炽灯管作为光源,另配大型广告语或宣传画来达到宣传的效果。这些灯的亮灭,闪烁时间及流动方向等均可以通过单片机来达到控制的要求. (关键字: 广告灯、AT89S51单片机、程序设计) English Abstract With the deepening of reform, the socialist market economy, increasing prosperity and development of cities during the months Xinhuanet lighting project. Individual companies to promote their corporate image and products are one of advertising practices: water lights to achieve this purpose. When we walk in the streets at night, the road on both sides of a great variety of water can see the lights all advertising, a is made of neon tubes of various shapes and a variety of color tubes, and the other for sunlight, such as a pipe or tube as the incandescent light source, language or reprovision a large poster advertising to achieve the effect of publicity. Out of these bright lights, flashing all the time and the flow direction can be achieved through the single-chip control. (Keyword: Advertisement lamp AT89S51Singlechip Program Design)

彩灯控制器的设计电子课程设计

彩灯控制器的设计 一.内容提要: 随着社会的发展,街道、商场或公共场所通常都装有各种五彩斑斓的灯饰,这些霓虹灯既可以美化人们的生活空间,也给这个社会增添了不少色彩。特别是每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。本次设计主要阐述了由电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。即由电子电路实现一个可循环效果的彩灯控制器。 本设计主要讲述了彩灯控制器的工作原理以及其各个组成部分,记述了我在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及对它们的调试、对调试结果的分析,到最后得到比较满意的实验结果的方方面面。 二设计主要要求及指标 1、控制红、绿、黄一组彩灯循环闪亮,变化的规律是:红→红绿→绿→ 黄绿→黄→全亮→全灭→红,如此循环,产生“流水”般的效果。 2、彩灯白天不亮,夜晚自动亮。 3、“流水”的速度由快到慢,再由慢到快循环变化。 三、设计提示 原理框图如图1所示 1、彩灯的亮灭共有七种情况,可设计一个七进制的计数器,用计数器的状态控 制彩灯的亮灭;计数器应能够自启动。 2、“流水”的速度的控制可用两片555定时器电路实现。两片555接成振荡频 率不同的多谐振荡器,用频率低的振荡器上积分电容的三角波信号,调制另一个频率高的振荡器的振荡频率,使其高低往复变化。 3、用光敏器件(光敏电阻、光敏二极管、光敏二极管等)检测周围环境的光强, 以区分白天、夜夜,控制彩灯的亮灭。

图1 彩灯控制器框图 四.设计思路 根据题目要求,整体结构为脉冲信号源输出一定频率的脉冲给七进制计数器,七进制计数器受脉冲控制输出Q 1、Q 2、Q 0的不同状态,从而控制逻辑电路,逻辑电路输出控制彩灯的亮灭,达到要求。 根据所学内容,可分别确定所需元件,脉冲信号源有很多种,但要频率可控,可采用CB555定时器组成的多谐振荡器和滑动变阻器完成,多谐振荡器是常用的一种矩形波发生器,滑动变阻器通过改变其内部电阻来改变其输出矩形波的频率。七进制计数器可通过74LS160型同步十进制计数器改接而得。逻辑电路可使用74LS138型3位二进制译码器控制彩灯。 光敏器件检测电路 脉冲发生 七进制计数逻 辑 电 路 红 黄 绿 Q2 Q1 Q0 D CP 加减控制 循环结束结束判别 时钟快慢 控制

单片机模拟汽车信号灯实训报告

沈阳理工大学应用技术学院 单片机原理及应用实训报告 题目单片机实训 院系能源工程系 专业弹药工程与爆炸技术 学生姓名孙兹超 学号 指导教师殷老师 完成日期2012年07月06日一.系统设计

实训题目:汽车信号灯设计 1.实训目的 通过实训掌握并行I/O口的使用和软件延时法的应用,掌握多分支程序的设计方法。 2. 实训要求 用发光二极管模拟汽车信号灯,用逻辑电平开关模拟控制开关,设计一个汽车信号灯控制系统。实验箱晶振频率。具体要求如下:(1)正常驾驶时,接通左转弯开关,左转弯灯、左头灯、左尾灯同时闪烁;接通右转弯开关,右转弯灯、右头 灯、右尾灯同时闪烁,闪烁频率为2Hz。 (2)刹车时,接通刹车开关,左尾灯、右尾灯同时亮。 (3)停靠站时,接通停靠开关,左头灯、右头灯、左尾灯、右尾灯同时闪烁,闪烁频率为2Hz。 (4)出现紧急情况时,接通紧急开关,左转弯灯、右转弯灯、左头灯、右头灯、左尾灯、右尾灯同时闪烁,闪 烁频率为10Hz。 3. 设计思路 用八位逻辑电平输出模块的前五位开关做发光二极管的控制开关,用电平显示模块的LED7、LED6、LED5分别代表汽车的左转弯灯、左头灯、左尾灯。用LED2、LED1、LED0分别代表右转向灯、

右头灯、右尾灯。 注意:由于K5、K6、K7未用到,初始化为高电平。如改变为低电平,程序无法正常使用。 延迟时间是由DJNZ命令来控制的,此命令执行需要两个机器周期,即2μs。用此命令的执行次数来控制执行时间,来达到实验题目所要求的闪烁频率。 二.硬件设计 1.硬件设计方法 用P1口作输入口,用8P数据线连接CPU的P1口和八位逻辑电平输出模块,控制二极管的亮与灭。用P0口作输出口,用8P数据线连接CPU的P0口和八位逻辑电平显示模块,输出二极管的闪烁频率。用串行数据通信线连接计算机与仿真器,并将USB线连接到计算机和仿真器,把仿真头插到模块的锁紧插座中,实现软件控制硬件。 2.实验电路

霓虹灯的PLC控制系统设计概要(doc 16页)

霓虹灯的PLC控制系统设计概要(doc 16页)

前言 随着改革的不断深入,社会主义市场经济的不断繁荣和发展,个大中小城市都在进行亮化工程。个企业为宣传自己企业的形象和产品,均采用广告手法之一:霓虹灯广告屏来实现这一目的.当我们夜晚走在大街上,马路两旁各色各样的霓虹灯广告均可以见到,一种是采用霓虹灯管做成的各种形状和多中彩色的灯管,另一种为日光等管或白炽灯管作为光源,另配大型广告语或宣传画来达到宣传的效果。这些灯的亮灭,闪烁时间及流动方向等均可以通过PLC来达到控制的要求. 可编程控制器PLC可编程序控制器:英文全称Programmable Logic Controller ,中文全称为可编程逻辑控制器,是一种数字运算操作的电子系统,专为在工业环境应用而设计的。它采用一类可编程的存储器,用于其内部存储程序,执行逻辑运算,顺序控制,定时,计数与算术操作等面向用户的指令,并通过数字或模拟式输入/输出控制各种类型的机械或生产过程. 可编程控制器是工业环境下应用及满足用户需要而设计,它具有以下的特点:(1)可靠性高。平均无故障工作时间场合故障平均修复时间短。可在恶劣的环境下工作。简单、操作方便而使失误少。 (2)柔性好。柔性好是指在使用过程中的适应性和灵活性。只需通过程序的编制和更改即可适应生产的要求。 (3)功能强大。可编程控制器不但具有开关量控制、模拟量控制、数据通信、中断控制等完善的功能。 (4)使用方便。编程方便,极易被技术人员接受和掌握,操作方便。 (5)体积小,功耗低。可编程控制器以其丰富的功能和显著的特点得到了广泛的运用。 关键词: PLC 霓虹灯控制系统

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

基于51单片机交通信号灯控制毕业设计

第一章绪论 近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善。 交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。随着中国加入WTO,我们不但要在经济、文化等各方面与国际接轨,在交通控制方面也应与国际接轨。如果交通控不好道路还是无法保障畅通安全。作为交通控制的重要组成部份单片机。因此,本人选择制作交通灯作为课题加以研究。 我国大中城市交通系统压力沉重。交通管制当以人性化、智能化为目的,做出相应的改善。以此为出发点,本系统采用的单片机控制的交通信号灯。该系统分为单片机主控电路、键盘控制电路和显示电路三部分组成。并在软硬件方面采取一些改进措施,实现了根据十字路口车流量、进行对交通信号灯的智能控制,使交通信号灯现场控制灵活、有效从一定程度上解决了交通路口堵塞车辆停车等待时间不合理等问题。系统具有结构简单、可靠性高、成本低、实时性好、安装维护方便等优点,有广阔的应用前景。 1.1 课题背景 随着我国国民经济的迅速发展,城市街道车辆大幅度增长,给城市交通带来巨大压力,交通拥堵已成为影响城市可持续发展的一个全局性问题。而街道各十字路口,又是车辆通行的瓶颈所在。已有的许多建立在精确模型基础上的交通系统控制方案都存在着一定的局限性。研究车辆通行规律,找出提高十字路口车辆通行效率的有效方法,对缓解交通堵塞,提高畅通率具有十分现实的意义。地面道路是一个庞大的网络,交通状况十分复杂,使目前交通控制器的单一时段控制已不能满足现代交通流量的多边性,特别是在交通流量高峰期时,往往会造成交通路口的通过率下降,甚至出现交通混乱现象,城市的交通拥挤问题正逐渐引起人们的注意。道路平面交叉口(简称交叉口)是交通网中通行能力的“隘口”和交通事故的“多发源”,国内外城市的交通事故约有一半发生在交叉口。因此,交叉口这个事故多发源不能不引起人们的高度关注。随着交通技术、

基于单片机的交通信号灯

二○一六~二○一七学年第一学期 电子信息工程系 电子产品策划与设计 报告书 班级: 课程名称: 学生姓名: 学号: 指导教师: 二○一六年十二月

基于单片机的交通信号灯 一、设计要求 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。初始状态为状态1,南北方向绿灯通车,东西方向红灯。经过一段时间(25S )转换状态2,南北方向亮黄灯,延时5S ,东西方向仍然红灯,再转换到状态3,东西方向绿灯通车,南北方向红灯。过一段时间(25S )转换到状态4,东西方向绿灯亮黄等,延时5S ,南北方向仍然红灯。最后循环至南北绿灯,东西红灯。在这些状态下,有时钟倒数计时。 二、电路设计及原理分析 1、本设计由STC82C52最小系统模块,电源供电模块,交通信号灯模块,显示倒计时模块。 2、路口交通指挥系统示意图如下所示:(本设计实物中东西、南北方向各做一个) 黄干3、设计的方案如下: (1)本设计采用单片机89C52作为控制器,通行时间及等待时间使用数码管以倒计时的方式显示,使用单片机P0.2-P0.4口控制东西方向的车辆通行;使用单片机P0.5-P0.7口控制南北方向车辆的通行;用P0.1-P02口控制两位共阴极数码管的选通;用P3.3口作为紧急情况东西南北方向全部红灯。 (2)方案中设计有由人工控制的复位电路。 (3)考虑到紧急情况获交通管制阶段需要路段不通车,本方案设计有东西南北四个方向全为红灯的设计。 综上所诉,该产品的实现需要单片机模块,晶振模块,复位模块,中断信号模块,交通灯模块,倒计时数显模块,电源供电模块。 三、焊接及安装调试过程

PLC霓虹灯闪烁控制系统设计

PLC霓虹灯闪烁控制系统设计 课程设计任务书 课程名称:xxxxxxxxxxxxxxx 学院: xxxxxxxxxxxx 专业:xxxxxxxxxxxxxxxxxx 班级: xxxxxxxxxx 学号:xxxxxxxxxx 学生姓名:xxxxxxxx 指导教师:xxxxxxxx 职称:教授 2013年7月12日 目录 第一章、概述 (1) 第二章、霓虹灯闪烁控制系统设计 (2) 2。1设计目的 (2) 2。2控制要求 (2) 第三章、 PLC型号选择 (3) 第四章、PLC接口电路 (4) 第五章、 PLC梯形图与指令表 (5) 5。1梯形图 (5) 5.2指令表 (11)

第六章、组态设计 (15) 6。1、新建项目……………………………………15 6。2、数据词典 (15) 6.3、画面制作……………………………………17 6.4、整体布局……………………………………20 第七章、调试心得 (21) 第八章、参考文献………………………………………………21 任务书 一、设计课题 霓虹灯闪烁控制系统设计 二、设计目的 1.进一步巩固理论知识,培养所学理论知识在实际中的应用能力。 2.掌握一般电气设备电气控制系统的设计方法。 3.掌握一般电气设备电气控制系统的施工设计、安装与调试方法。 4.培养查阅图书资料、工具书的能力。 5.培养工程绘图、书写技术报告的能力。 三、控制要求 用HL1~HL6六个霓虹灯,分别做成“曹妃甸欢迎您”6个字。闪烁要求见下表,时间间隔为1s,反复循环进行。

四、设计任务 1.简述本设计的意义.根据控制要求,制定合理的设计方案。 2.硬件选型并进行硬件电路(接口电路)设计。 3。控制程序的设计(并加以注释). 4.监控系统设计. 选择工业领域流行的组态软件,然后经过制作监控界面、画面属性设置及与PLC进行通信等步骤完成监控系统的设计(必须要有设计过程)。 5.模拟调试 6.编写设备的电气工作原理说明及其使用说明。 五、设计时间安排(共1周) 五、设计参考书 1.教材《可编程控制器应用技术》. 2.《流行PLC实用程序及设计(西门子S7—200系列)》.(可到院图书馆借阅) 3.其它参考书:(可到院图书馆借阅) 一、课题说明

单片机课题设计广告灯的左移右移

广告灯的左移右移 1.实验任务 做单一灯的左移右移,硬件电路如图4.4.1所示,八个发光二极管L1-L8分别接在单片机的P1.0-P1.7接口上,输出“0”时,发光二极管亮,开始时P1.0→P1.1→P1.2→P1.3→┅→P1.7→P1.6→┅→P1.0亮,重复循环。 2.电路原理图 图4.4.1

3.系统板上硬件连线 把“单片机系统”区域中的P1.0-P1.7用8芯排线连接到“八路发光二极管指示模块”区域中的L1-L8端口上,要求:P1.0对应着L1,P1.1对应着L2,……,P1.7对应着L8。 4.程序设计内容 我们可以运用输出端口指令MOV P1,A或MOV P1,#DATA, 只要给累加器值或常数值,然后执行上述的指令,即可达到 输出控制的动作。 每次送出的数据是不同,具体的数据如下表1所示

表1 5.程序 框图 图4.4.2 6.汇编源程序 ORG 0 START: MOV R2,#8 MOV A,#0FEH SETB C LOOP: MOV P1,A

LCALL DELAY RLC A DJNZ R2,LOOP MOV R2,#8 LOOP1: MOV P1,A LCALL DELAY RRC A DJNZ R2,LOOP1 LJMP START DELAY: MOV R5,#20 ; D1: MOV R6,#20 D2: MOV R7,#248 DJNZ R7,$ DJNZ R6,D2 DJNZ R5,D1 RET END 7.C语言源程序 #include unsigned char i; unsigned char temp; unsigned char a,b; void delay(void) { unsigned char m,n,s;

相关文档
相关文档 最新文档