文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成与系统结构 复习题

计算机组成与系统结构 复习题

计算机组成与系统结构 复习题
计算机组成与系统结构 复习题

计算机组成复习题

一、选择题

1.CPU是( )。

A.计算机中的一个部件,根据指令控制其他部件的工作

B.一种二进制数 C.中央处理器

D.相同指令系统的不同实现

2.控制器是( )。

A.计算机中的一个完成算术运算的部件

B.计算机中的一个部件,根据指令控制运算器、存储器和输入输出设备的操作C.根据指令完成操作功能的硬件 D.一个集成电路芯片

3.指令是( )。

A. 发给计算机的一个个操作命令

B.通常用于构成主存储器的集成电路

C.计算机中的一个部件,控制其他部件的工作

D.完成操作功能的硬件

4.汇编程序是( )。

A. 将指令的符号化表示转换成二进制代码的程序

B.将高级语言程序转换成可执行代码的程序

C.程序运行时的存储位置,包括他们所需的数据

D,将可执行代码转换成高级语言程序的程序

5.程序在运行时的存储位置是( )。

A. 数据通路 B.存储器 C.操作系统 D. 高级语言

6.将高级语言程序转换成可执行代码的程序是( )。

A.汇编语言 B.汇编程序 C.编译程序 D. 编译语言

7.计算机中完成算术运算的部件是( )。

A.控制器 B.运算器 C.CPU D. 主机

8.以下说法错误的是( )。

A.计算机的机器字长是指数据存储与运算的基本单位

B.寄存器由触发器构成

C.计算机中一个字的长度都是32位

D.磁盘是部分顺序存储器

9.以下说法错误的是( )。

A. 硬盘是外围设备

B.软件的功能与硬件的功能在逻辑上是等效的

C.硬件实现的功能一般比软件实现具有较高的执行速度

D.软件的功能不能用硬件取代

10.以下说法正确的是( ) 。

A. 汇编语言程序设计需要了解计算机的硬件结构

B.高级语言程序经过汇编后形成机器语言程序代码

C.高级语言仍然与计算机的结构特征相关

D.CAD软件是一种系统软件

11.以下属计算机的系统软件有( )。

A.音像处理软件 B.程序设计语言编译软件

C.办公自动化软件 D.游戏软件

12.磁盘是一种( )。

A.随机访问存储器 B.顺序存取存储器

C.部分顺序存储器 D.部分随机存储器

13.指令流( )。

A.从存储器流向控制器 B.从控制器流向存储器

C.从控制器流向控制器 D.从存储器流向存储器

14.数据流( )。

A.从存储器流向控制器 B.从控制器流向存储器

C.从控制器流向运算器 D.在运算器和存储器之间流动

15.原码是表示()的编码方法。

A. 无符号数

B. 正定点数

C. 负定点数

D. 正负定点数

16.定点数表示的是( )。

A.正数 B.整数 C. 小数 D. 整数和小数

17.移码的编码特点是( )。

A.扩大数据表示范围B.保持了数据原有的大小顺序B.零有两种表示D.正数的符号位为0

18.移码编码( )。

A.便于进行比较操作B.便于加减法操作

C.便于乘除法操作D.便于移位操作

19.浮点数溢出的特征是( )。

A.尾数溢出B.阶码溢出

C.两个符号位不同D.尾数最高位为1

20.二-十进制码在一个字节中存放十进制数字的个数是( )。

A.1 B.2 C.3 D. 4

21. 奇偶校验码能()。

A.发现数据代码中1位错误,但不能纠正错误

B.发现数据代码中1位错误并纠正1位错误

C.不能发现数据代码中的错误,但能纠正1位错误

D.发现数据代码中2位错误并纠正1位错误

22.海明码能()。

A. 发现数据代码中1位错误,但不能纠正错误

B.发现数据代码中1位错误并纠正1位错误

C.不能发现数据代码中的错误,但能纠正1位错误

D.发现数据代码中2位错误或纠正1位错误

23. 在补码运算中,( )。

A.符号相同的两个数相减是不会产生溢出的

B.符号相同的两个数相加是不会产生溢出的

C.符号不同的两个数相减是不会产生溢出的

D.符号不同的两个数相加是会产生溢出的

24. 两个字的逻辑或运算是( )。

A. 高位与低位之间的运算

B.相同位的逻辑或运算,没有进位或借位

C. 相同位的逻辑或运算,有进位或借位

D.移位运算

25. 1KB等于( )字节。

A.1048 B.1024 C. 1000 D. 1025

26. n位二进制定点整数表示的最大值是( )。

A. 2n B.2n-1 C. 2n-1 D. 2n-1-1

27. n+l位二进制定点小数表示的最大值是( )。

A.1 B.1-2-n C.1-2-n-1 D.1-2-n+1

28. n位定点整数补码表示的最大值是( )。

A. 2n B.2n-1 C. 2n-1 D. 2n-1-1

29. n+l位定点小数补码表示的最大值是( )

A.1 B.1-2-n C.1-2-n-1 D.1-2-n+1

30. n+l位定点整数补码表示的最小值是( )。

A. -2n B.-(2n-1) C. -2n-1 D. -(2n-1-1)

31. n+l位定点整数原码表示的最小值是( )。

A. -2n B.-(2n-1) C. -2n-1 D. -(2n-1-1)

32.在浮点数中,当数据的绝对值太大,以至于大于所能表示的数据时,称为浮点数的

( )。

A.上溢 B.正上溢 C.正溢 D.正下溢

33.在浮点数中,当数据的绝对值太小,以至于小于所能表示的数据时,则称为浮点数的()。

A.下溢 B. 负溢 C.负上溢 D.正下溢

34.在浮点数中,当数据的值太大,以至于大于所能表示的数据时,称为浮点数的( )。

A.上溢 B.正上溢 C.正溢 D.正下溢

35.在浮点数中,当数据的值太小,以至于小于所能表示的数据时,则称为浮点数的

( )。

A.下溢 B.负溢 C.负下溢 D.负上溢

36.浮点数的加减法运算的第一步是( )。

A. 对阶 B.阶码加减法 C.尾数加减法 D.规格化

37. 一个8位寄存器中的数据11001100

,经过一次算术右移后变成( )。

2

A.11100110 B.1001 1000 C.1001 1001 D.01100110

,经过一次逻辑左移后变成( )。

38. 一个8位寄存器中的数据11100110

2

A.1100 1100 B.1100 1101 C.1111 0011 D.0111 0011

,经过一次小循环右移后变成( )。

40. 一个8位寄存器中的数据11001100

2

A.11100110 B.1001 1000 C.1001 1001 D.01100110

,经过一次小循环左移后变成( )。

41. 一个8位寄存器中的数据01100110

2

A.1100 1100 B.1100 1101 C.11100110 D.01100110

42. 在定点数编码中,进行加减法最方便的表示法是( )

A.原码 B.补码 C.反码 D.移码

43.8位二进制无符号数据01000001,对应的十进制表示为( )。

A.41 B.101 C.65 D. 33

44.8位二进制无符号数据10000100,对应的十进制表示为( )。

A.84 B.204 C.132 D. 68

45. 8位补码数据01000001,对应的十进制表示为( )。

A.41 B.101 C.65 D. -33

46. 8位补码数据10000100,对应的十进制表示为( )。

A.84 B.132 C.-124 D. -68

47. 若二进制数的值为-0.1010,在计算机中该数表示为1.0110,则该数所用的编码为

( )。

A.原码 B.补码 C.反码 D.移码

48. 若二进制数的值为0.1100,在计算机中该数表示为1.0011,则该数所用的编码为( )。

A.原码 B.补码 C.反码 D.移码

49. 8位原码能表示的最小数是( )。

A.-16 B.-127 C. -128 D. -225

50. 浮点数的规格化是为了( )。

A. 增加数据的表示范围

B. 方便浮点运算

C.防止运算时数据溢出 D. 使数据的表示唯一

51.以下浮点数表示中,尾数是补码表示,符合规格化要求的是()。

A. 0.0000110?20 B.0.01000111?2-2 C. 1.0100111?2-4 D。1.100111?21

52. 信息码为1001,若生成多项式是G(x)=x3+x+1,则相应循环编码是( )。

A.1001001 B.1010011 C.1010001 D.1011011

53. 十进制整数到二进制数的转换可以采用( )。

A.除2取整数部分的方法 B.除2取余数部分的方法

C.乘2取整数部分的方法 D.乘2取小数部分

54. 对于带有小数的十进制数,在转换成二进制数时则须对小数部分采用( )。

A.除2取整数部分的方法 B.除2取余数部分的方法

C.乘2取整数部分的方法 D.乘2取小数部分

55.在用原码乘法计算2x(-3)时,( )。

A. 将2的原码与(-3)的原码直接相乘

B.将2的原码与(-3)的补码直接相乘

C.将2的原码与3的原码直接相乘,结果的符号位置1

D.将2的补码与(-3)的补码直接相乘

56. 原码除法的加减交替方法的规则是:当余数为正时,( )。

A. 商1,余数左移一位,减除数 B.商0,余数左移一位,加除数

C.商1,余数左移一位,加除数 D.商0,余数右移一位,减除数

57. 在浮点数的加减法运算中,对阶的方法是:( )。

A.将原来阶码大的数的尾数部分左移,.并相应地减少其阶码

B.将原来阶码大的数的尾数部分右移,并相应地增加其阶码

C. 将原来阶码小的数的尾数部分左移,并相应地减少其阶码

D.将原来阶码小的数的尾数部分右移,并相应地增加其阶码

58. 在浮点数的加减法运算中,如果补码编码的尾数运算的结果为10.00110,则应规格化为( )。 A.11.00011 B.11.00110 C.00.11000 D.溢出

59. 在浮点数的加减法运算中,如果补码编码的尾数规格化的结果为0.011110,如果保留小数4位,则冯·诺依曼的舍人结果为( )。

A.0.0110 B.0.0111 C.0.1000 D.0.011

60. 在浮点数的加减法运算中,如果补码编码的尾数规格化的结果为0.011110,如果保留小数4位,则0舍1入法的舍人结果为( )。

A.0.0110 B.0,0111 C.0.1000 D.0.011

61.对于二进制数,若小数点左移一位则数值( )。

A. 扩大2倍 B.扩大1倍 C.缩小1倍 D. 缩小2倍

62. 数据的补码左移1位,则它代表的数值( )。

A.扩大2倍 B.扩大1倍 C.缩小1倍 D. 缩小2倍

63. 在加减法运算中,符号位与数值位一起参加运算,这种代码是( )。

A.补码 B.补码和原码

C.补码、原码和反码 D.补码和反码

64.在补码加法运算中,判断溢出的条件是( )。

A. 结果的双符号位相同 B.结果的双符号位不同

C.结果的符号位与被加数相同 D.结果的符号位与被加数不同

65.在RAM存储器芯片中采用地址双译码的方式可以( )。

A. 提高存储器速度B.提高存储器容量

C.减少数据单元选通线的数量 D.避免存储器刷新

66.静态存储器的存储单元包括()。

A.8个晶体管 B.一个晶体管和一个电容器

C.4个晶体管 D.6个晶体管

67. 动态存储器的存储单元包括()。

A.1个晶体管 B.一个晶体管和一个电容器

C.4个晶体管 D.6个晶体管

68. EPROM存储器的数据( )。

A.不能擦除 B. 只能擦除一次 C.可多次擦除 D.可以在电路中擦除

69. 访问相联存储器( )

A.根据内容,不需要地址

B.不根据内容,只需要地址

C.既要内容,又要地址D.不要内容也不要地址

70. 高速缓冲存储器的作用是( )。

A.提高平均访存速度B.提高主存的容量

C.增加寻址范围D.像访问内存一样访问外存

71. 在虚拟存储器中,虚拟地址就是( )。

A.内存地址 B.辅助存储器的地址 C. 逻辑地址 D.物理地址

72. 在页式虚拟存储器中,( )。

A.采用直接地址映象B.页的长度是固定的

C.页表较小D.页内分成多个段

73. 在段式虚拟存储器中,( )。

A. 采用直接地址映象B.段的长度是固定的

C.段表较小D.段内分成多个页

74. 在段页式虚拟存储器中,( )。

A.采用直接地址映象B.段的长度是固定的

C.段长度较小D.段内分成多个页

75.虚拟存储器的功能是( )。

A. 可提高平均访存速度。B.可增加主存的容量

C.可增加程序的访存空间D.可提高磁盘的访问速度

76. 半导体随机访问存储器可分为( )两种。

A. RAM与ROM

B. SRAM与DRAM

C. SRAM与SROM

D. SROM与DROM

77.静态存储器芯片的控制信号不包括( )。

A.CS* B.WE* C.OE* D.RAS*

78.用户不可编程的ROM称为( )。

A.掩膜式ROM B.PROM C.EPROM D. EEPROM

79. 用户可一次性编程的ROM称为( )。

A. 掩膜式ROM B.PROM C.EPROM D. EEPROM

80. 用户可多次擦除并编程的ROM称为( )。

A. 掩膜式ROM B.PROM C.EPROM D. EEPROM

81. 用户可采用电子方式擦除并编程的ROM称为( )。

A. 掩膜式ROM B.PROM C.EPROM D. EEPROM

82. 启动一次存储器访问操作到该操作完成的时间称为( )。

A. 启动时间 B.访问时间 C.周期时间 D. 操作时间

83. 连续启动两次独立的存储器操作所需的最小间隔时间称为( )。

A. 间隔时间 B.访问时间 C.周期时间 D. 操作时间

84. 在写操作时,对cache与主存单元同时修改,这种方法称为( )。

A.全写法 B.写回法 C.按写分配 D.不按写分配

85. 在写操作时,只修改cache单元,直到替换时写入主存,这种方法称为( )。A.全写法 B.写回法 C.按写分配 D.不按写分配

86. 在具有虚拟存储器的系统中,CPU根据程序指令生成的地址是( )。

A. 物理地址 B.实际地址 C.主存地址 D.逻辑地址

87. SRAM是一种半导体( )存储器芯片。

A.静态 B.动态 C. 只读 D.可擦写

88. 在页式虚拟存储器中,虚存地址包括( )。

A. 基号、虚页号、页内地址B.基号、主存页号、页内地址

C.区号、块号、块内地址D.段号、块号、块内地址

89. 静态RAM存储器的原理是( )。

A.采用触发器电路来存储信息 B. 利用电容存储信息

C. 利用晶体管的掩膜D.利用快闪技术

90. 动态RAM存储器的原理是( )。

A. 采用触发器电路来存储信息B.利用电容存储信息

C.利用晶体管的掩膜D.利用快闪技术

91.计算机断电后,将丢失信息的存储器是( )。

A.ROM B.RAM C.磁盘 D. 光盘

92. 以下存储设备中存取速度最快的是( )。

A.主存 B.磁盘 C.光盘 D. cache

93. 64Kx32位存储器芯斗需要( )条地址线。

A.64K B.32 C. 64 D. 16

94. 为组成2Kx8的存储器,可采用2片( )。

A. 1Kx4位芯片字扩展

B. 1Kx8位芯片位扩展

C.2Kx4位芯片字扩展 D. 2Kx4位芯片位扩展

95.一条机器指令中包含的信息有( )。

A. 操作码、控制码 B.操作码、立即数

C.操作码、地址码 D.地址码、寄存器号

96. 指令地址码除了可指定寄存器号及存储器的地址外,也可直接存放操作数。这种操作

数又称为( )。

A.信息码 B.立即数 C.地址码 D.条件码

97. 计算机可对不同类型的操作数进行操作。操作数的类型有( )。

A.数值型、字符型

B. 浮点型、字符型

C.定点型、字符型

D.数值型、代码型

98. 将最低字节存储在最小地址位置的存储方式称为( )。

A. 对齐方式 B.不对齐方式 C.小数端 D.大数端

99. 操作数的地址直接在指令中给出,这是( )寻址方式。

A. 直接 B.间接 C.立即数 D.寄存器

100. 操作数直接在指令中给出,这是( )寻址方式。

A.直接 B.间接 C.立即数 D.寄存器

101. 操作数的地址在寄存器中,这是( )寻址方式。

A.直接 B. 存储器间接 C. 寄存器 D.寄存器间接

102. 操作数在寄存器中,这是( )寻址方式。

A.直接 B. 存储器间接 C. 寄存器 D.寄存器间接

103. 操作数的地址在主存器中,这是( )寻址方式。

A.直接 B. 存储器间接 C. 寄存器 D.寄存器间接

104. 操作数的地址是指令地址计数器PC的值加上一个偏移量,这是( )寻址方式。

A. 相对 B.存储器间接 C.寄存器 D.变址

105. 操作数的地址由指令地址码与某个寄存器的数值相加形成,这是( )寻址方式。

A. 相对 B.存储器间接 C.寄存器 D.变址

106. 程序控制指令包括( )。

A. 取数指令 B.存数指令 C.转子程序指令 D.逻辑运算指令

107.数据传输指令包括( )。

A. 空操作指令 B.取数指令 C.转子程序指令 D.逻辑运算指令

的地方,读取这条指令之后PC的值为108. 一条指令长4个字节,存储在存储器中字地址为150

16

( )。

A.150 B.151 C.152 D.154

109. 一条转移指令存储在存储器中字地址为150

16的地方,转移目标地址为50

16

。执行这条指令之

后PC的值为( )。

A.150 B.154 C.50 D.54

110. 指令系统中采用不同的寻址方式的主要目的是( )。

A.增加内存的容量 B.为编程序提供方便

C.提高访存速度 D.简化指令译码

111.数据字对齐存储的目的是()。

A. 提高读写速度B.为编程序提供方便

C. 节省存储空间D.简化指令译码

112.在寄存器对栈中,压栈操作是( )。

A. SP加1后将数据存SP指定的寄存器

B.SP加1后将数据从SP指定的寄存器读出

C.将数据从SP中读出

D.将数据写入SP中

113. 在寄存器对栈中,出栈操作是( )。

A. 将数据存入SP指定的寄存器后SP减1

B.将数据从SP指定的寄存器读出后SP减1

C.将数据从SP中读出

D.将数据写入SP中

114.系统指令主要使用者是( )。

A.硬件设计人员 B. 普通用户

C.系统程序员 D. 应用程序员

115.差分信号线方式的特点是( )。

A.抗干扰能力强B.信号质量差

C.传输速度低 D. 猝发式传输

116.总线的全互锁异步通信方式( )。

A.适用于低速设备B.适用于各种工作速度的设备

C.适用于高速设备D.操作周期时间是固定的

117.总线的半同步通信方式( )。

A. 既不采用时钟信号,也不采用握手信号

B.只采用时钟信号,不采用握手信号

C.不采用时钟信号,只采用握手信号

D.既采用时钟信号,又采用握手信号

118.总线的独立请求裁决方式的优点是( )。

A. 线路简单 B.速度高 C. 可靠性高 D.成本低

119.总线的分布式裁决算法的特点是( )。

A.每个设备都是裁决器B.有一个专用的裁决器

C.裁决优先级灵活D.不需要进行裁决

120.总线的数据传输在一条线路上按位进行,这种传输方式称为( )。

A.串行传输 B.并行传输 C.复合传输 D.消息传输

121.总线中数据信号和地址信号分别用一组线路传输,这种传输方式称为( )。

A. 串行传输 B.并行传输 C.复合传输 D.消息传输

122.总线中数据信号和地址信号合用一组线路传输,这种传输方式称为( )。

A. 串行传输 B.并行传输 C.复合传输 D.消息传输

123.总线中数据信号和地址信号等组成一个数据包后进行传输,这种传输方式称为( )。

A.串行传输 B.并行传输 C.复合传输 D.消息传输

124.总线的猝发传输模式是( )。

A. 一种串行传输方式 B.并行传输方式的改进

C.一种复合传输方式 D.一种异步传输方式

125.并行总线中连续传输多个字的传输方式称为( )。

A.同步式传输 B.全互锁方式

C.消息传输方式 D.猝发式数据传输模式

126. 为了能够适用于存取周期不同的部件之间的通信,总线通信方式可采用( )。

A.同步 B.全互锁 C.非互锁 D.半互锁

127. 总线控制的链式查询方式的特点是( )。

A.控制结构比较简单 B.优先级灵活 C.裁决速度快 D.分布式裁决

128.总线控制的分组链式查询方式是( )。

A. 链式查询方式和计数器查询方式的结合

B.独立请求方式和链式查询方式的结合

C.分布式的链式查询

D.双重链式查询方式

129.在总线的各种裁决方式中,裁决速度最高的是( )。

A. 链式查询 B.计数器定时查询 C.独立请求 D.分组链式查询

130.以下错误的是( )。

A.针式打印机将字符的点阵存储在ROM中

B.针式打印机可以打印图形

C.电容式按键的键盘使用寿命较长

D.光笔是一种输出设备

131.系统总线接口的基本功能不包括( )。

A.数据缓存 B.数据运算 C.数据转换 D.程序中断

132. UART串行数据的格式不包括( )

A.起始位 B.校验位 C.结束位 D.地址位

133.在磁盘中,( )。

A.依靠磁盘的旋转定位磁道B.依靠磁臂的移动定位扇区

C.各个磁道上的信息记录密度一致D.各个磁道的容量一样

134.磁盘读写信息的最小单位是( )。

A.位 B.字节C.扇区 D.磁道

135.统一编址法进行输入输出操作的指令是( )。

A.控制指令 B.运算指令 C.访存指令 D.输入输出指令

136.根据同步方式的不同,程序控制方式可分为( )。

A.程序查询方式和中断方式 B.程序查询方式和DMA方式

C.中断方式和DMA方式 D.DMA方式与通道方式

137.如果CPU和中断源都分为8级,表示为0级到7级,0级优先级最高,7级优先级最低。

当CPU处理4级中断时,CPU的响应的中断级为( )。

A. 大于4 B.大于或等于4 C.小于4 D.小于或等于4

138.产生中断的原因除了输入输出操作外,还可以是下列除( )以外的原因。

A.cache失效 B.页面失效 C.非法指令 D.数据运算时的溢出

139.中断向量就是( )。

A.中断服务程序的入口地址

B.中断服务程序入口地址所在单元的地址

C.中断向量表的地址

D.中断返回地址

140.DMA访问内存时向CPU发出请求,获得总线使用权时进行访存,这种情况称为( )。

A. CPU等待 B.周期挪用 C.交替访问 D.透明的DMA

二、练习题

1. 写出下列电路的表达式:

2.画出下列电路Q1,Q2的波形:

3. 机器数字长为8位(含1位符号位),当X= -127 (十进制)时,其对应的二进制表示,(X)原表示,(X)反表示,(X)补表示,(X)移表示分别是多少?

4.浮点数格式如下:1位阶符,6位阶码,1位数符,8位尾数,请写出浮点数所能表示的范围(只考虑正数值)。

5.对于二进制数1000 1111 1110 1111 1100 0000 0000 0000 ⑴表示一个补码整数,其十进制值是多少?⑵表示一个无符号整数,其十进制值是多少?⑶表示一个IEEE754标准的单精度浮点数,其值是多少?

6.CRC编码为1001111,生成多项式是G(x)=x3+x+1该编码是否正确?如何判断?

7.若浮点数X的二进制存储格式为(41360000)16,求其32位浮点数的十进制值。

8.已知 X = -0.01111,Y = +0.11001, 求[X]补, [-X] 补,[Y] 补,[-Y] 补,X+Y=?,X-Y=? 并判断是否溢出。

9.将6用IEEE754单精度浮点格式表示(16进制)。

10.已知X=2010×0.11011011,Y=2100×(-0.10101100),用浮点数运算步骤求X+Y。(1位符号位、5位阶码、10位尾数)

11.用16k×8位的SRAM芯片构成64K×16位的存储器,要求画出该存储器的组成逻辑框图。

12.已知某8位机的主存采用半导体存储器,地址码为18位,采用4K×4位的SRAM芯片组成该机所允许的最大主存空间,并选用模块条形式,问:⑴若每个模块条为32K×8位,共需几个模块条?

⑵每个模块条内有多少片RAM芯片? ⑶主存共需多少RAM芯片?CPU需使用几根地址线来选择各模块?使用何种译码器?

13. 现有一64K×2位的存储器芯片,欲设计具有同样存储容量的芯片,应如何安排地址线和数据线引脚的数目,使两者之和最小。并说明有几种解答。

14.某计算机系统的内存储器由 cache和主存构成,cache的存取周期为45纳秒,主存的存取周期为200纳秒。已知在一段给定的时间内,CPU共访问内存4500次,其中340次访问主存。问:⑴cache 的命中率是多少?⑵CPU访问内存的平均时间是多少纳秒?⑶Cache-主存系统的效率是多少?

15. 图B11.1为某ALU部件的内部逻辑图,图中S0、S1为功能选择控制端,Cin为最低位的进位输入端,A(A1-A4)和B(B1-B4)是参与运算的两个数,F(F1-F4)为输出结果,试分析在S0,S1,Cin各种组合条件下输出F和输入A,B,Cin的算术关系。

16.如图B8.1表示用快表(页表)的虚实地址转换条件,快表放在相联存贮器中,其容量为8个存贮单元,问:当CPU按虚地址1,2,3去访问主存时主存的实地址码各是多少?

17.如果处理器的访存地址为以下十进制表示的值0000,0005,0010,…,0495.⑴4个存储体交叉的存储器⑵4字宽的存储器。求该存储器是单体存储器平均访问速率的几倍?

18。一台计算机的主存容量为1MB,字长为32位,Cache的容量为512字,确定下列情况下的地址格式:⑴直接映像的Cache,快长1字;⑵直接映像的Cache,快长8字;⑶组相联映像的Cache,快长1字,组内4块

19.一个直接映像的Cache,快长为4个16位的字,容量为4096字,主存容量为64K字.⑴设计该Cache的地址映像方式?⑵主存有多少个块?Cache有多少个块?

20.一个组相联映像的Cache由64个存储块组成,每组包含4个存储块,主存包含4096个存储块,每块由128字组成,访存地址为字地址。设计该Cache的地址映像方式

21.有一个“Cache-主存”存储层次,主存容量为8个块,Cache容量为4个块,分别采用直接地址映像、全相联映像FIFO替换策略和LRU替换策略,完成下列要求:⑴对于主存块地址流:0,1,2,5,4,6,7,1,2,4,1,3,7,2;列出每次访问后Cache中个块的分配情况。⑵指出块命中的时刻。⑶求命中率

22.某计算机的指令长度为20位,具有双操作数、单操作数和无操作数三类指令,每个操作数地址为6位,操作码为8位,现已设计了m条双操作数,n条无操作数,向最多还可以设计多少条单操作数?

23. 某计算机的指令长度为16位,具有双操作数、单操作数和无操作数三类指令,每个操作数地址为6位,现已设计了m条双操作数,n条无操作数,向最多还可以设计多少条单操作数?

24.假定以下C语言语句中包含的变量f, g, h, i, j,分别存放在寄存器$11到$15中,

f=(g+h)-(i-j) 写出编译后的MIPS汇编语言指令序列。

25.例4-9 对于以下C语言程序:

if(i==j) goto L1;

f=g-h;

L1:f=f+i;

假定5个变量分别存放在$16到$20中,写出相应的MIPS指令序列。

26.某指令执行过程如下

1.IR = Memory[PC], PC = PC + 4

2. A = R[IR[25:21]],B = R[IR[20:16]]

3.ALUoutput = A + B

4.R[IR[15:11]] = ALUoutput

这4部分别表示什么操作,运行的是什么指令?下图中圈出分别执行1,2,3,4的部件。

27. 画出中断处理过程流程图。

28.今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,今假设完成各步操作的时间依次100ns,100ns,80ns,50ns。请问:(1)流水线的操作周期应设计为多少?(2)ADD R1,R2,R3 ; SUB R4,R1,R5; 如在硬件上不采取措施,那么第二条指令要推迟多少时间进行。(3)如果在硬件设计上加以改进,画出时空图。

北邮高级计算机系统结构实验二三四五

实验二指令流水线相关性分析 ·实验目的 通过使用WINDLX模拟器,对程序中的三种相关现象进行观察,并对使用专用通路,增加运算部件等技术对性能的影响进行考察,加深对流水线和RISC处理器的特点的理解。 ·实验原理: 指令流水线中主要有结构相关、数据相关、控制相关。相关影响流水线性能。·实验步骤 一.使用WinDLX模拟器,对做如下分析: (1)观察程序中出现的数据/控制/结构相关。指出程序中出现上述现象的指令组合。 (2)考察增加浮点运算部件对性能的影响。 (3)考察增加forward部件对性能的影响。 (4)观察转移指令在转移成功和转移不成功时候的流水线开销。 ·实验过程 一.使用WinDLX模拟器,对做如下分析: } 浮点加、乘、除部件都设置为1,浮点数运算部件的延时都设置为4,如图1: 图1 初始设置 将和加载至WinDLX中,如图2示。

图2 加载程序 1.观察程序中出现的数据/控制/结构相关;指出程序中出现上述现象的指令组合。 1)数据相关 点击F7,使程序单步执行,当出现R-Stall时停止,运行过程中出现下图3所示,输入整数6。 图3 输入整数6 @ 打开Clock Diagram,可以清楚的看到指令执行的流水线如图4所示。 图4 指令流水线 双击第一次出现R-Stall的指令行,如图5所示。

图5 指令详细信息 对以上出现的情况分析如下: 程序发生了数据相关,R-Stall(R-暂停)表示引起暂停的原因是RAW。 lbu r3,0×0(r2) 要在WB周期写回r3中的数据;而下一条指令 & seqi r5,r3,0×a 要在intEX周期中读取r3中的数据。 上述过程发生了WR冲突,即写读相关。为了避免此类冲突, seq r5,r4,0×a的intEX指令延迟了一个周期进行。 由此,相关指令为: 2)控制相关 由图6可以看出,在第4时钟周期:第一条指令处于MEM段,第二条命令处于intEX段,第三条指令出于aborted状态,第四条命令处于IF段。 图 6 指令流水线 }

《计算机系统结构》复习题

计算机系统结构复习 填空(15*1分),选择(15*1分),简答题(5/6 30分),综合题(4*10分) 1、从使用语言角度,可将系统按功能划分为多层次机器级结构,层次结构分别是:应用语言机器级、高级语言机器级、汇编语言机器级、操作系统机器级、传统机器语言机器级和微程序机器级。 2、各机器级的实现主要靠翻译和解释或两者结合进行。翻译是先用转换程序将高一级机器级上的程序整个地变换成低一级机器级上等效的程序,然后再在低一级机器级上实现的技术。解释则是在低级机器上用它的一串语句或指令来仿真高级机器上的一条语句或指令的功能,是通过对高级的机器级语言程序中的每条语句或指令逐条解释来实现的技术。 3、计算机系统结构在计算机系统机器级层次中指传统机器级的系统结构。 4、计算机系统结构研究的是软、硬件之间的功能分配以及对传统机器级界面的确定,为机器语言、汇编语言程序设计或编译程序生成系统提供使其设计或生成的程序能在机器上正确运行应看到的遵循的计算机属性。 5、计算机系统结构的属性包括: 1.数据表示、2.寻址方式、3.寄存器组织、4.指令系统、5.存储系统组织、6.中断机构、7.系统机器级的管态和用户态的定义和切换、8.机器级的I/O 结构、9.系统各部分的信息保护方式和保护机构等。 6、机器透明性:指相对于每一机器级设计人员,都客观存在的功能或属性看不到的现象,称相对于此级设计人员来说,这些功能或属性是具有透明性,即透明的。 7、计算机组成指的是计算机系统结构的逻辑实现,包括机器级内部的数据流和控制流的组成以及逻辑设计等。它着眼于机器级内部各事件的排序方式与控制机构、各部件的功能及各部件间的联系。 8、计算机组成设计要解决的问题是在所希望达到的性能和价格下,怎样更好、更合理地把各种设备各部件组织成计算机,来实现所确定的系统结构。 9、当前,计算机组成设计主要是围绕提高速度,着重从提高操作的并行度、重叠度,以及功能的分散和设置专用功能部件来进行的。 10、计算机组成设计要确定的方面一般应包括: 1.数据通路宽路、2.专用部件的设置、3.各种操作对部件的共享程度、4.功能部件的并行度、5.控制机构的组成方式、6.缓冲和排队技术、7.预估预判技术、8.可靠性技术等。 11、计算机实现指计算机组成的物理实现,包括处理机、主存等部件的物理结构,器件的集成度和速度,器件、模块、插件、底板的划分与连接,专用器件的设计,微组装技术,信号传输,电源、冷却及整机装配技术等。它着眼于器件技术和微组装技术,其中,器件技术在实现技术中起着主导作用。 13、软、硬件取舍的三个基本原则是: (1).考虑在现有硬件、器件(主要是逻辑器件和存储器)条件下,系统要有高的性能价格比,主要从实现费用、速度和其他性能要求来综合考虑。 (2).考虑到准备采用和可能采用的组成技术,使它尽可能不要过多或不合理地限制各种组成、实现技术的采用。 (3).从“软”的角度考虑如何为编译和操作系统的实现以及为高级语言程序的设计提供更多更好的硬件支持。 14、程序在系统上运行的时间应该是衡量机器时间(速度)性能最可靠的标准。 15、计算机性能通常用峰值性能及持续性能来评价。峰值性能是指在理想情况下计算机系统可获得的最高理论性能值,它不能反映出系统的实际性能。

计算机组成原理的试卷和答案

一、单项选择题 1. Pentium属于___B____位结构的微处理器。 A 64 B 32 C 16 D 8 2. 冯?诺依曼机的基本工作方式的特点是_C_____。 A 多指令流多数据流 B 堆栈操作 C 按地址访问并顺序执行指令 D 存贮器按内容选择地址 3. 根据国标规定,每个汉字在计算机内占用____B__个字节存储。 A 1 B 2 C 3 D 4 4. 计算机系统多级层次中,从下层到上层,各级相对顺序正确的应当是_C_____。 A 汇编语言机器级→操作系统机器级→高级语言机器级 B 传统机器语言机器级→高级机器语言机器级→汇编语言机器级 C 微程序机器级→传统机器语言机器→汇编语言机器级 D 汇编语言机器级→应用语言机器级→高级语言机器级 5. 采用2的补码形式表示时,定点16位字长的字能表示的整数范围是___A___。 A -215 ~+(215-1) B -(215-1)~+(215-1) C -(215+1)~+215 D -215~+215 6. 浮点数据格式中的阶码常用_____D_表示法。 A 原码 B 补码 C 反码 D 移码 7. 8421BCD码011001010010.00010110所对应的十进制数为____A____。 A 652.16 B 1618.13 C 652.13 D 1618.06 8. 某SRAM芯片的存储容量为64K×16位,该芯片的地址线和数据线数目为___D___。 A 64,16 B 16,64 C 64,8 D 16,16 9. 主存储器和CPU之间增加Cache的目的是___A___。 A 解决CPU和主存之间的速度匹配问题 B 扩大主存贮器的容量 C 扩大CPU中通用寄存器的数量 D 既扩大主存的容量,又扩大CPU通用寄存器的数量 10. 某单片机的系统程序,不允许用户改变,则可以选用__B____作为存储芯片。 A SRAM B 闪速存储器 C cache D 辅助存储器 11. 指令周期是指___C___。 A CPU从主存取出一条指令的时间 B CPU执行一条指令的时间 C CPU从主存取出一条指令加上CPU执行这条指令的时间 D 时钟周期时间 12. 程序控制类指令的功能是__D____。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送 C 进行CPU和I/O设备之间的数据传送 D 改变程序执行的顺序 13. 假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校验的字符码是___D___。 A 11001011 B 11010110 C 11000001 D 11001001 14. 下面有关“中断”的叙述,___A___是不正确的。

计算机组成原理试题及答案

2. (2000)10化成十六进制数是______。 A.(7CD)16 B.(7D0)16 C.(7E0)16 D.(7F0)16 3. 下列数中最大的数是______。 A.(10011001)2 B.(227)8 C.(98)16 D.(152)10 4. ______表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是______。 A. BCD码 B. 16进制 C. 格雷码 D. ASCⅡ码 6. 下列有关运算器的描述中,______是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 7. EPROM是指______。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 8. Intel80486是32位微处理器,Pentium是______位微处理器。 A.16B.32C.48D.64 9. 设[X]补=1.x1x2x3x4,当满足______时,X > -1/2成立。 A.x1必须为1,x2x3x4至少有一个为1 B.x1必须为1,x2x3x4任意 C.x1必须为0,x2x3x4至少有一个为1 D.x1必须为0,x2x3x4任意 10. CPU主要包括______。 A.控制器 B.控制器、运算器、cache C.运算器和主存 D.控制器、ALU和主存 11. 信息只用一条传输线,且采用脉冲传输的方式称为______。 A.串行传输 B.并行传输 C.并串行传输 D.分时传输 12. 以下四种类型指令中,执行时间最长的是______。 A. RR型 B. RS型 C. SS型 D.程序控制指令 13. 下列______属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D.文本处理 14. 在主存和CPU之间增加cache存储器的目的是______。 A. 增加内存容量 B. 提高内存可靠性 C. 解决CPU和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用______作为存储芯片。 A. SRAM B. 闪速存储器 C. cache D.辅助存储器 16. 设变址寄存器为X,形式地址为D,(X)表示寄存器X的内容,这种寻址方式的有效地址为______。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为______。 1

计算机体系结构实验报告二

实验二结构相关 一、实验目得: 通过本实验,加深对结构相关得理解,了解结构相关对CPU性能得影响。 二、实验内容: 1、用WinDLX模拟器运行程序structure_d、s 。 2、通过模拟,找出存在结构相关得指令对以及导致结构相关得部件。 3、记录由结构相关引起得暂停时钟周期数,计算暂停时钟周期数占总执行 周期数得百分比。 4、论述结构相关对CPU性能得影响,讨论解决结构相关得方法。 三、实验程序structure_d、s LHI R2, (A>>16)&0xFFFF 数据相关 ADDUI R2, R2, A&0xFFFF LHI R3, (B>>16)&0xFFFF ADDUI R3, R3, B&0xFFFF ADDU R4, R0, R3 loop: LD F0, 0(R2) LD F4, 0(R3) ADDD F0, F0, F4 ;浮点运算,两个周期,结构相关 ADDD F2, F0, F2 ; < A stall is found (an example of how to answer your questions) ADDI R2, R2, #8 ADDI R3, R3, #8 SUB R5, R4, R2 BNEZ R5, loop ;条件跳转 TRAP #0 ;; Exit < this is a ment !! A: 、double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 B: 、double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 四、实验过程 打开软件,load structure_d、s文件,进行单步运行。经过分析,此程序一 次循环中共有五次结构相关。(Rstall 数据相关Stall 结构相关) 1)第一个结构相关:addd f2,,f0,f2 由于前面得数据相关,导致上一条指令addd f0,f0,f4暂停在ID阶段,所以下一条指令addd f2,,f0,f2发生结构相关,导致相关得部件:译码部件。

(完整版)计算机系统结构复习题(附答案)范文

计算机系统结构复习题和重点(附答案) 一、单项选择题 1.实现汇编语言源程序变换成机器语言目标程序是由() A.编译程序解释B.编译程序翻译 C.汇编程序解释D.汇编程序翻译 2.系列机软件必须保证() A.向前兼容,并向上兼容B.向前兼容,并向下兼容 C.向后兼容,力争向上兼容D.向后兼容,力争向下兼容 3.浮点数尾数基值r m=8,尾数数值部分长6位,可表示规格化正尾数的个数是()A.56个B.63个 C.64个D.84个 4.在IBM370系统中,支持操作系统实现多进程共用公用区管理最有效的指令是()A.“执行”指令B.“程序调用”指令 C.“比较与交换”指令D.“测试与置定”指令 5.关于非专用总线三种控制方式中,下列叙述错误 ..的是() A.集中式定时查询,所有部件共用同一条“总线忙”线 B.集中式定时查询,所有部件都用同一条“总线请求”线 C.集中式独立请求,所有部件都用同一条“总线请求”线 D.集中式串行链接,所有部件都用同一条“总线请求”线 6.磁盘外部设备适合于连接到() A.字节多路通道B.数组多路通道或选择通道 C.选择通道或字节多路通道D.数组多路通道或字节多路通道 7.在Cache存储器中常用的地址映象方式是() A.全相联映象B.页表法映象 C.组相联映象D.段页表映象 8.在指令级高度并行的超级处理机中,下列叙述正确的是() A.超标量处理机利用资源重复,要求高速时钟机制 B.超流水线处理机利用资源重复,要求高速时钟机制 C.超标量处理着重开发时间并行性,要求高速时钟机制 D.超流水线处理机着重开发时间并行性,要求高速时钟机制 9.间接二进制n方体网络是一种() A.多级立方体网络B.多级全排列网络

计算机组成原理样卷及参考答案

题号一二三四合计 分数 阅卷人 一、单选题(每题2分,共30分) 1 冯.诺依曼计算机结构的核心思想是:_____ 。 A 二进制运算 B 有存储信息的功能C运算速度快 D 存储程序控制 2 计算机硬件能够直接执行的只有_____ 。 A 机器语言 B 汇编语言 C 机器语言和汇编语言 D 各种高级语言 3 零的原码可以用哪个代码来表示:_____ 。 A 11111111 B 10000000 C 01111111 D 1100000 4 某数在计算机中用8421码表示为0111 1000 1001 ,其真值为_____。 A 789 B 789H C 1929 D 11110001001B 5目前在小型和微型计算机里最普遍采用的字符编码是_____。 A BCD码 B 十六进制代码 C AS CⅠⅠ码 D海明码

6 当-1<x<0时,【x】原=:______。 A 1-x B x C 2+x D (2-2-n) -︱x ︳ 7 执行一条一地址的加法指令需要访问主存______次。 A 1 B 2 C 3 D 4 8 在寄存器间接寻址中,操作数应在______中。 A 寄存器 B 堆栈栈顶 C 累加器 D 主存单元 9 在串行进位的并行加法器中,影响加法器运算速度的关键因素是:______。 A 门电路的级延迟 B 元器件速度C进位传递延迟 D 各位加法器速度的不同 10 运算器虽由许多部件组成,但核心部件是______。 A 算术逻辑运算单元 B 多路开关 C 数据总线D累加寄存器 11在浮点数编码表示中______在机器中不出现,是隐含的。 A. 阶码 B.符号 C 尾数 D 基数

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机系统结构试题及答案

计算机系统结构复习题 单选及填空: 计算机系统设计的主要方法 1、由上往下的设计(top-down) 2、由下往上的设计(bottom-up) 3、从中间开始(middle-out) Flynn分类法把计算机系统的结构分为以下四类: (1)单指令流单数据流 (2)单指令流多数据流 (3)多指令流单数据流 (4) 多指令流多数据流 堆栈型机器:CPU 中存储操作数的单元是堆栈的机器。 累加器型机器:CPU 中存储操作数的单元是累加器的机器。 通用寄存器型机器:CPU 中存储操作数的单元是通用寄存器的机器。 名词解释: 虚拟机:用软件实现的机器叫做虚拟机,但虚拟机不一定完全由软件实现,有些操作可以由硬件或固件(固件是指具有软件功能的固件)实现。 系列机:由同一厂家生产的具有相同系统结构、但具有不同组成和实现的一系列不同型号的计算机。 兼容机:它是指由不同公司厂家生产的具有相同系统结构的计算机。 流水线技术:将一个重复的时序过程,分解成为若干个子过程,而每一个子过程都可有效地在其专用功能段上与其它子过程同时执行。 单功能流水线:指流水线的各段之间的连接固定不变、只能完成一种固定功能的流水线。 多功能流水线:指各段可以进行不同的连接,以实现不同的功能的流水线。 顺序流水线:流水线输出端任务流出的顺序与输入端任务流入的顺序完全相同。 乱序流水线:流水线输出端任务流出的顺序与输入端任务流入的顺序可以不同,允许后进入流水线的任务先完成。这种流水线又称为无序流水线、错序流水线、异步流水线。 吞吐率:在单位时间流水线所完成的任务数量或输出结果的数量。 指令的动态调度:

是指在保持数据流和异常行为的情况下,通过硬件对指令执行顺序进行重新安排,以提高流水线的利用率且减少停顿现象。是由硬件在程序实际运行时实施的。 指令的静态调度: 是指依靠编译器对代码进行静态调度,以减少相关和冲突。它不是在程序执行的过程中、而是在编译期间进行代码调度和优化的。 超标量: 一种多指令流出技术。它在每个时钟周期流出的指令条数不固定,依代码的具体情况而定,但有个上限。 超流水:在一个时钟周期分时流出多条指令。 多级存储层次: 采用不同的技术实现的存储器,处在离CPU不同距离的层次上,各存储器之间一般满足包容关系,即任何一层存储器中的容都是其下一层(离CPU更远的一层)存储器中容的子集。目标是达到离CPU最近的存储器的速度,最远的存储器的容量。 写直达法: 在执行写操作时,不仅把信息写入Cache中相应的块,而且也写入下一级存储器中相应的块。写回法: 只把信息写入Cache中相应块,该块只有被替换时,才被写回主存。 集中式共享多处理机: 也称为对称式共享存储器多处理SMP。它一般由几十个处理器构成,各处理器共享一个集中式的物理存储器,这个主存相对于各处理器的关系是对称的, 分布式共享多处理机: 它的共享存储器分布在各台处理机中,每台处理机都带有自己的本地存储器,组成一个“处理机-存储器”单元。但是这些分布在各台处理机中的实际存储器又合在一起统一编址,在逻辑上组成一个共享存储器。这些处理机存储器单元通过互连网络连接在一起,每台处理机除了能访问本地存储器外,还能通过互连网络直接访问在其他处理机存储器单元中的“远程存储器”。 多Cache一致性: 多处理机中,当共享数据进入Cache,就可能出现多个处理器的Cache中都有同一存储器块的副本,要保证多个副本数据是一致的。 写作废协议: 在处理器对某个数据项进行写入之前,它拥有对该数据项的唯一的访问权 。 写更新协议: 当一个处理器对某数据项进行写入时,它把该新数据广播给所有其它Cache。这些Cache用该新数据对其中的副本进行更新。 机群:是一种价格低廉、易于构建、可扩放性极强的并行计算机系统。它由多台同构或异构

计算机组成原理试题及答案

计算机组成原理题集含答案 题库题目总数:293 第一章单选题 1、控制器、运算器和存储器合起来一般称为(主机): I/O部件 内存储器 外存储器 主机 2、冯?诺依曼机工作方式的基本特点是(按地址访问并顺序执行指令):按地址访问并顺序执行指令 精确结果处理 存储器按内部地址访问 自动工作 3、输入、输出设备以及辅助存储器一般统称为(外围设备): I/O系统 外围设备 外存储器 执行部件 4、计算机硬件能直接识别和执行的语言是(机器语言): 高级语言 汇编语言 机器语言 符号语言 判断题

5、若某计算机字代表一条指令或指令的一部分,则称数据字(错)。 6、若某计算机字是运算操作的对象,即代表要处理的数据,则称指令字(错)。 7、数字计算机的特点:数值由数字量(如二进制位)来表示,运算按位进行。(对) 8、模拟计算机的特点:数值由连续量来表示,运算过程是连续的。(对) 填空题 9、系统软件包括:服务程序、语言程序、(操作系统)、数据库管理系统。 10、计算机系统的发展按其核心部件采用器件技术来看经历了五代的变化,分别是(电子管)、(晶体管)、(集成电路)、(大规模集成电路)、(巨大规模集成电路)五个部分。 11、计算机系统是一个由硬件和软件组成的多级层次结构,这通常由(微程序级)、(一般机器级)、(操作系统级)、(汇编语言级)和(高级语言级)等组成,在每一级上都可以进行(程序设计)。 12、计算机的软件一般分为(系统软件)和(应用软件)两大部分。 13、计算机的硬件基本组成包括(控制器)、(运算器)、(存储器)、(输入设备)和(输出设备)五个部分。 简答题 14、什么是存储容量?什么是单元地址? 存储器所有存储单元的总数称为存储器的存储容量。灭个存储单元都有编号,称为单元地址。 15、什么是外存?简述其功能。 外存:为了扩大存储容量,又不使成本有很大的提高,在计算机中还配备了存储容量更大的磁盘存储器和光盘存储器,称为外存储器,简称外存。外存可存储大量的信息,计算机需要使用时,再调入内存。 16、什么是内存?简述其功能。 内存:一般由半导体存储器构成,装在底版上,可直接和CPU交换信息的存储器称为内存储器,简称内存。用来存放经常使用的程序和数据。。 17、指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 取指周期中从内存读出的信息流是指令流,而在执行器周期中从内存读出的信息流是数据流。 18、什么是适配器?简述其功能。 适配器是外围设备与主机联系的桥梁,它的作用相当于一个转换器,使主机和外围设备并行协调的工作。

计算机系统结构(复习题)

《计算机系统结构》复习题(一) 一、单项选择题 1.同号相减或异号相加,阶差为1,只需要设置位警戒位。【】A.0 B. 1 C. 2 D. 3 2.计算机系统多级层次结构中,操作系统机器级的直接上层是【】A.传统机器级B.高级语言机器级 C.应用语言机器级D.汇编语言机器级 3.以下不.是设计RISC的原则的是【】A.指令多用硬联控制实现 B.指令条数多,通常超过100条 C.指令寻址方式一般不超过两种 D.所有指令都在一个机器周期内完成 4. 下列操作码的编码方法中,操作码平均长度最短的是:【】 A、固定长编码 B、Huffman编码 C、不等长扩展编码 D、等长扩展编码 5.在采用延迟转移技术时,在以下几种调度方法中,效果最好的是哪一种方法【】 A.将转移指令前的那条指令调度到延迟槽中 B.将转移目标处的那条指令调度到延迟槽中 C.将转移不发生时该执行的那条指令调度到延迟槽中 D.在延迟槽中填入NOP空操作指令 6.在以下输入输出控制器的管理方式中,外设的工作速度对计算机性能影响最小的是 【】 A. 程序控制轮询方式 B. 程序控制中断方式 C. 直接存储器访问方式 D. I/O处理机方式 7. 计算机系统多级层次结构中,操作系统机器级的直接上层是【】 A.传统机器级B.高级语言机器级 C.应用语言机器级D.汇编语言机器级 8.多处理机的互连方式采用总线形式的优点是【】 A.硬件成本低且简单 B.适用于处理机机数较多的场合 C.有很高的潜在通信速率 D.不便于改变系统硬件设置 9.浮点数尾数基值r m=8,尾数数值部分长6位,可表示规格化正尾数的个数是【】A.56个B.63个 C.64个D.84个

计算机组成原理试卷答案及解析

华东交通大学2014—2015学年第二学期考试卷 试卷编号: ( A )卷 计算机组成原理 课程 课程类别:必√、限、任 闭卷( )、开卷(范围)(√):仅限上课教材考试日期:2015-6-25 考生注意事项:1、本试卷共 页,总分100分,考试时间120分钟。 2、考试结束后,考生不得将试卷、答题纸和草稿纸带出考场。 一、填空题(每空1分,共20分)。 1、外部设备包括_______、_______和_______,又叫外围设备。 2、系统软件主要包括_______、_______和________。 3、4位二进制数可表示______种代码,8位二进制数可表示_______种代码。 4、浮点数运算可由_______运算和_______来实现。 5、一条指令包括_______和_______两个部分,它们都是采用_______表示的。 6、主机对主存的主要要求是_______、_______和________、_______。 7、控制器的三个功能是决定_______,给出_______,处理_______。 二、选择题(每题2分,共30分) 1、已知:[X]补=,[Y]补=01001010,则[X-Y]补=______。 A. B. C. D.溢出 2、在用________表示的机器中,零的表示是唯一的。 A.原码 B.反码 C.补码 D.阶码 存储器的内容应与主存储器的相应单元的内容_______。

A.保持一致 B.可以不一致 C.无关 4、在总线中地址总线的功能是_______。 A.用于选择存储器单元 B.用于选择存储器单元和各个通用寄存器 C. 用于选择进行信息传输的设备 D.用于选择指定存储器单元和选择I/O设备接口电路的地址 5、现代计算机的处理对象是________。 A.二进制数 B. 文字 C. 十进制数 D.电压、电流 6、计算机中数据处理中心是________。 A.主机 B.运算器 C. 控制器 O系统 7、中断向量地址是_______。 A.子程序的入口地址 B.中断服务子程序的入口地址 C.中断服务子程序入口地址指示器 D. 外设程序入口地址 8、计算机存储器用来存放被运算的数据和程序,如果读出一个存储单元的内容后,该单元的内容________。 A.清零 B.保持不变 C.被取走 D. 不定 9、CPU中通过寄存器的位数决定________。 A.指令长度 B.数的精度 C.机器字长 D.主存储量 10、下列哪种指令不属于程序控制指令。 A. 无条件转移指令 B. 条件转移指令 C. 中断隐指令 D. 转移指令 11、计算机的中央处理器是指_________。 A. 主机 B. 运算器 C. CPU D. 控制器 12、计算机可以运行用各种高级程序语言设计编写的程序,但都必须经过变换成最终计算机能够辨别的,才能执行。 A.二进制机器语言 B.汇编语言 C.中间语言 D.操作系统语言

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

北邮计算机系统结构实验报告-实验一到五-WINDLX模拟器

北京邮电大学 实验报告 课程名称计算机系统结构 计算机学院03班 王陈(11)

目录 实验一WINDLX模拟器安装及使用......................................... 错误!未定义书签。 ·实验准备................................................................................ 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验内容及要求.................................................................... 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验二指令流水线相关性分析 ............................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验三DLX处理器程序设计 .................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 A.向量加法代码及性能分析 ................................................... 错误!未定义书签。 B.双精度浮点加法求和代码及结果分析 .............................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验四代码优化 ....................................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验原理................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结+实习体会........................................................... 错误!未定义书签。实验五循环展开 ....................................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验原理................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 矩阵乘程序代码清单及注释说明........................................... 错误!未定义书签。 相关性分析结果........................................................................... 错误!未定义书签。 增加浮点运算部件对性能的影响........................................... 错误!未定义书签。 增加forward部件对性能的影响 ............................................ 错误!未定义书签。 转移指令在转移成功和转移不成功时候的流水线开销 .. 错误!未定义书签。 ·实验总结+实习体会+课程建议......................................... 错误!未定义书签。

《计算机体系结构》期末复习题标准答案

《计算机体系结构》期末复习题答案

————————————————————————————————作者:————————————————————————————————日期:

《计算机体系结构》期末复习题答案 系别_________ 班级_________ 姓名__________ 学号__________ 一、填空题(每空1分) 1.按照弗林(Flynn)分类法,计算机系统可以分为4类:SISD计算机、(SIMD计算机)、(MISD计算机)和(MIMD计算机)。 2. 改进之后的冯?诺依曼计算机的只要特点是存储器为中心,总线结构,分散控制。 3. 当前计算机系统中的存储系统是一个层次结构,其各层分别为:(通用寄存器,高速缓存,主存,辅存,脱机大容量存储器)。 4.高速缓冲存储器的地址映象方式有三种,它们分别是:(全向量方式,直接相联方式,组相联方式)。 5.虚拟存储器的三种管理方式是(段式管理,页式管理和段页式管理)。 6.目前计算机中常用数据有(用户定义数据,系统数据和指令数据)三种类型。 7.通常可能出现的流水线的相关性有(资源相关,数据相关和控制相关)。 8.解决中断引起的流水线断流的方法有(不精确断点法和精确断点法)。 9.目前向量处理机的系统结构有两种:(存储器-存储器型和寄存器-寄存器型)。 10.通用计算机基本指令分为5类,它们分别是:(数据传送类,运算类,程序控制类,输入输出类,处理机控制和调试类)。 11.执行指令x1=x2+x3;x4=x1-x5会引起(RAW)类型的数据相关,执行指令x5=x4*x3;x4=x0+x6会引起(W AR)类型的数据相关,执行指令x6=x1+x2;x6=x4*x5会引起(WA W)类型的数据相关。 12.多计算机网络中,通常出现的4种通信模式是(单播模式,选播模式,广播模式和会议模式)。 13.传统的冯?诺依曼计算机是以控制驱动方式工作,以数据驱动方式工作的典型计算机是(数据流计算机),以需求驱动方式工作的典型计算机是(归约机),以模式匹配驱动方式工作的典型计算机是(人工智能计算机)。 二、名词解释(每题2分) 1.计算机体系结构: 计算机系统结构就是计算机的机器语言程序员或编译程序编写者所看到的外特性,是硬件子系统的概念结构及其功能特性。 2.系列机: 所谓系列机是指同一厂家生产的具有相同的系统结构,但采取了不同的组成和实现的技术方案,形成了不同型号的多种机型。 3.模拟: 模拟是指用软件的方法在一台计算机上,实现另一台计算机的指令系统,被模拟的机器是不存在的,称为虚拟机,执行模拟程序的机器称宿主机。 4.程序的局部性原理: 程序访问局部性原理说明了计算机在程序执行过程中呈现出的一种规律,即程序往往重

相关文档
相关文档 最新文档