文档库 最新最全的文档下载
当前位置:文档库 › 电子秒表的设计

电子秒表的设计

电子秒表的设计
电子秒表的设计

电子秒表的设计

目录

一、设计要求 (2)

二、设计的目的与作用 (2)

三、设计的具体体现 (2)

1. 电子秒表的基本组成 (3)

2.电子秒表的工作原理 (3)

3.电子秒表的原理图 (4)

4. 单元电路设计 (4)

5.设计仿真与PCB制版 (12)

四、心得体会 (17)

五、附录 (18)

六、参考文献 (20)

一、设计要求

1.以0.01秒为最小单位进行显示。

2.秒表可显示0.01~59:59:99秒的量程。

3.该秒表具有清零、开始计时、停止计时功能。

二、设计方案

方案一:通过单片机来实现电子秒表

基于51单片机电子秒表,设计简单,而且技术准确,缺点是价格相比于数字电路实现的秒表技术要昂贵。

方案二:采用数字电路来实现秒表计数,优点是价格便宜,计数精确,反应较快,缺点是,电路芯片较多,设计电路复杂。

经过比较选择了较为经济适用的数字电路。

二、设计的目的与作用

1.培养我们运用有关课程的基础理论和技能解决实际问题,并进一步提高专业基本技能、创新能力。通过课程设计,学习到设计写作方法,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计和研究成果。

2. 熟悉555方波振荡器的应用。

3.熟悉计数器的级联及计数、译码、显示电路的整体配合。

4.建立分频的基本概念。

三、设计的具体体现

1.电子秒表的基本组成

电子秒表电路的基本组成框图如图所示,它主要由基本RS 触发器、多谐振荡器、计数器和数码显示器4个部分组成。 电子秒表电路的基本组成(方框图)如下:

图(1)电子秒表基本组成方框图

2.电子秒表的工作原理

由555定时器构成多谐振荡器,用来产生50Hz 的矩形波。第Ⅰ块计数器作5分频使用,将555输来的50Hz 的脉冲变为0.1秒的计数脉冲,在输出端Qd 取得,作为第2块计数器的始终输入,第2、第3块计数器QA 与CP2相连,都已接成8421码十进

基本RS 触发器

多谐振荡器

单稳态触发器

计数器

译码显示器

制计数电路,第4块接成六进制形式,其输出端与译码显示器的相应输入端连接,可显示00:00:00——59:59:99s

3.电子秒表的原理图

图(2)原理图

4.单元电路设计

(1)由NE555P组成的多谐振荡器(多谐振荡器)

ne555是一种应用特别广泛作用很大的的集成电路,属于小规模集成电路,在很多电子产品中都有应用。ne555的作用是用内部的定时器来构成时基电路,给其他的电路提供时序脉冲。

ne555时基电路有两种封装形式有,一是dip双列直插 8脚封装,另一种是sop-8小型(smd)封装形式。其他ha17555、lm555、ca555分属不同的公司生产的产品。内部结构和工作原理都相同。ne555的内部结构可等效成23个晶体三极管.17个电阻.两个二极管.组成了比较器.RS触发器.等多组单元电路.特别是由三只精度较高5k电阻构成了一个电阻分压器.为上.下比较器提供基准电压.所以称之为555。

555内部结构及引脚如下图所示:

图(3)555内部结构及引脚图

(A)、555时钟电路可以构成多谐振荡器,真值表如下:

RST THR TRI OUT TD

0 X X 0 导通

1 >2\3VCC >1\3VCC 0 导通

1 <2\3VCC >1\3VCC 不变不变

1 <2\3VCC <1\3VCC 1 截止

1 >2\3VCC <1\3VCC 1 截止

表(1)555功能真值表

注明:6脚为THR,触发器输入端,低电平有效。

2脚为TRI,阀值输入端,高电平有效。4脚为RST,总复位端,低电平有效。

7脚为DIS,放电端。5脚为CON,控制端。1脚接地,8脚接电源。

3脚为输出端。TD为内部三极管。

(B)时钟信号产生电路

图(4)555组成的多谐振荡器

NE555定时器是一种电路结构简单、使用方便灵活、用途广泛的多功能电路。利用闭合回路的反馈作用可以产生自激振荡。TTL电路延迟时间短,难以控制频率。电路接入RC回路有助于获得较低的振荡频率,由于门电路的作用时间极短,TTL电路自有几十纳秒,所以想获得稍低一些的振荡频率式很困难的,而且频率不易调节。在电路中接入RC电路可以有助于获得较低的振荡频率,而且通过改变R,C的数值可以很容易实现对频率的调节。

振荡电路是数字秒表的核心部分,电容充放电的速度决定了电路的振荡频率R1 .R2 .C决定了多谐振荡器的周期,即决定了形成的方波的频率利用闭合回路中的负反馈作用可以产生自激振荡,利用闭合回路中的延迟负反馈作用也能产生自激振荡,只要负反馈作用足够强。为了得到频率更加准确的频率信号,加入了电容和电阻,其中电容为0.01uf和0.1uf,电阻为100K欧姆。(2)基本RS触发器

图(5)RS触发器电路

用集成与非门构成基本RS触发器,属低电平直接触发的触发器,有直接置位、复位的功能。

它的一路输出作为单稳态触发器的输入,另一路输出作为与非门的输入控制信号。

按动按钮开关J1(接地),则门1输出=1;门2输出Q=0,J1复位后Q、状态保持不变。再按动按钮开关J2,则Q由0变为1,门5开启,为计数器启动作好准备。由1变为0,送出负脉冲,启动单稳态触发器工作。基本RS触发器在电子秒表中的职能是启动和停止秒表的工作.

(3)单稳态触发器

图(6)单稳态触发器电路

用集成与非门构成的微分型单稳态触发器。单稳态触发器的输入触发负脉冲信号Vi由基本RS触发器端提供,输出负脉冲Vo通过非门加到计数器的清除端R。静态时,门4应处于截止状态,故电阻R必须小于们的关门电阻R

。定时元件RC取值不

off

同,输出脉冲宽度也不同。当触发脉冲宽度小于输出脉冲宽度时,可以省去输入微分电路的Rp和Cp。

单稳态触发器在电子秒表中的职能是为计数器提供清零信号。

(4)计数及译码显示

(A)74L160(计数器)简介

74LS160是常用的四位二进制可预置的同步加法计数器,他可以灵活的运用在各种数字电路,以及单片机系统种实现分频器等很多重要的功能它的引脚功能如下:

图(7)74LS160引脚图

功能表如下:当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位输出端CO,其逻辑关系是CO= Q0·Q1·Q2·Q3·CET。合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意进制分频器。

(B)十进制加法计数器74LS160与译码显示器构成电子秒表的计数单元

图(8)电子表秒计数单元电路

5.设计仿真与PCB制版

1.、将各部分电路在MULTISIM11中连接并进行仿真

(1)时钟发生器的仿真

用示波器观察输出电压波形并测量其频率,调节滑动变阻器,使输出100Hz矩形波。

仿真结果如下:

图(9)多谐振荡器信号波形图

(2)与非门基本RS触发器的仿真

用示波器观察基本 RS 触发器的波形图如下(先闭合J1后再打开J1,再闭合J2后再打开)。

仿真结果如下:

图(10)RS触发器仿真图

(3) 单稳态触发器的仿真

将启停电路单元的按钮按下, 则此电路输出一个有效信号(负脉冲),但持续时间很短。

仿真结果如下:

图(11)单稳态触发器波形(4)计数电路的仿真

仿真结果如下:

图(12)计数单元的仿真2.电子秒表的整体测试

各单元电路测试正常后,按总图把几个单元电路连接起来,进行电子秒表的总体仿真。

仿真结果如下:

图(13)电子秒表总体仿真图

3.PCB版电路电路制作

在MULTISIM11画好电路图,仿真成功以后,设置个原件封装,直接导出网络表。在protell99se中导入生成的网络表截图可见

(2)PCB制版

PCB制版图

四.心得体会

经过这次的数字电子秒表课程设计后,我从中学到了好多东西。综合我们上一个学期的数字电子技术基础课,我们已经对数字电子技术有一定的了解,主要是我们熟悉了protell99se软件和multisim11两个软件。加上之前学过的电路课和模拟电子技术基础课,我们可以独立完成电路CAD基础课程设计了。

不过,在课设当中还是遇到许多不懂的问题,都积极向老师同学请教,基本都攻克了难题。学会了设计数字电子电路的一般方法,还进一步熟悉数字电子器件的使用。

课设过程中遇到的问题:

1、在绘制电路图的过程中对数电中学习过的555芯片不是很熟悉,通过上网查找,发现555产生方波的参数方程为T=1.1RC,这是一个很关键的方程,调节RC可以改变方波周期,使我能够顺利的得到100HZ的方波。

2、在生成网络表后,在protel99se中导入网络表,产生许多问题,例如:multisim中的封装名跟protel中的不一样,或者是封装原件没有,最关键的问题是在pcb板上有好几个74ls160,考虑怎么能减少,将各个与非门合并到一个74ls160

中,进过向老师请教,修改了原来的电路原理图,找到了解决办法,从新生成网络表,在pcb中减少了4个74ls160,感觉原件减少了许多。

这个课程设计课我还不是很熟悉,第一次做难免会感到陌生,而且对很多基本的东西都不是很清楚,在一定程度上影响了我们的课程设计的质量,希望能在以后的时间里认真学习好这些基础的东西。我对这个课程设计课有着深刻的体会:要想做好这个课程设计,就必须认认真真地去做,不要怕麻烦,遇到不懂的问题就要主动去问同学或者老师。最后我希望课程设计课能够再多一点给我们提供动手的机会,并让我们多点发挥主观能动性和创造能力,这样可以在学到东西的同时又能发散大家的思维。

总之,通过这次练习我有了很多收获。在摸索该如何设计电路使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增强了动手能力。

五.附录

元器件清单:

序号名称型号数量备注

1 计数器74LS90 4 ——

2 555定时

LM555CN 1 ——

3 与非门74ALS00M 5 ——

4 或非门4001BP 1 ——

5 反相器4009BCP 1 ——

6 与门74ALS08M 1 ——

7 或门74ALS32M 1 ——

8 开关SPST 2 ——

9

电阻RESISTOR 2 3KΩ

10 1 1KΩ

11 2 470Ω

12 1 100KΩ

13 POTENTIOMETE 1 100KΩ

14 七段译码

显示器

DCD-HEX 4 ——15 发光二极LED 1 ——

16 电容

电容CAP

CAP

1 510pF

17 1 4.7nF

18 1 100nF

19 1 10nF

六.参考文献

数字电子秒表课程设计

西安航空职业技术学院 电子技术实践课程设计报告 课设题目:数字电子秒表 所属系部:电子工程系 指导老师: 作者: 专业:电子信息工程技术 西安航空职业技术学院制 西安航空职业技术学院 课程设计任务书 题目:数字电子秒表 任务与要求: 1、设计数字电子秒表原理图。 2、用6个数码管显示分、秒、毫秒。 3、计时误差不得超过1s;具有清零、启动计时、暂停计时及继续 计时等控制功能。 4、画出总体电路图。 5、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。 焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、 虚焊的现象。 6、调试电路。 时间:2010年11月29 日至 2010年12 月10 日共2周

所属系部:电子工程系 指导单位或教研室:电子信息教研室 西安航空职业技术学院制 摘要: 采用现代数字电路设计方法和EDA技术,即自顶向下的设计方法,应用protues开发平台进行设计并仿真验证和硬件测试。从总体设计框图开始,将设计任务逐步分解,直到可以用标准的集成电路部件实现,然后将各部件联结成系统,通过protues集成开发平台进行设计的分析综合和时序仿真验证。最后,在分析时序仿真结果的基础上,对设计进行进一步的修改和完善,已达到对设计电路正确运行且学会运用protues电路设计与仿真的目的。 关键词: 555定时器;LED;暂停计时 Abstract: Adopt modern digital circuit design method and EDA technique, namely the top-down design methods, application protues development platform design and simulation validation and hardware test. From the beginning, overall design diagram design task decomposed step by step, until can use standard of integrated circuit components, and then will realize connecting components into system, through protues integrated development platform design of comprehensive analysis and time-series simulation prove. Finally, by analyzing the timing simulation results, on the basis of design for further revised and perfected, reached the correct operation of circuit design and learn to use protues circuit design and simulation of purpose. Key words: 555 timing, Leds, Suspended timing 目录 1 设计方案的选择 (1) 2 总体框架设计 (2) 3 分步电路设计 (3) 3.1控制电路的设计 (3) (3) (3) 3.2数码管显示电路 (4)

电子秒表课程设计

课程设计 题目 学院 专业 班级 姓名 指导教师 年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目:电子秒表的设计与制作 初始条件: (1)计数精度可达1/100秒 (2)可显示时间99.99秒 (3)具有开关可启动,暂停,清零功能 选作:设计可改变计时时间(最大59.99秒)的电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~1月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

目录 摘要 (4) 电子秒表的设计与制造 (5) 1 课题分析 (5) 2系统设计方案的选择 (5) 3 电子秒表系统主体流程框图 (6) 4 单元电路的设计 (7) 4.1脉冲产生电路 (7) 4.2 计数电路 (8) .3 译码显示电路 (9) 4.4 控制电路 (10) 5 仿真测试 (10) 6 电子秒表设计原理图 (11) 7 结束语 (11) 参考文献 (12) 附录 (13) 附录一:选作:设计可改变计时时间的电路 (13) 附录二:74LS290功能表 (15) 附录三:74LS48功能表 (15)

摘要 电子秒表是一种数字显示计时装置,由于它走时准,设计简单,显示直观,因此被广泛运用于科学研究,体育运动,国防等方面。比如对物体速度,加速度的测量,体育比赛的时间的测量等。 数字电子秒表由组合逻辑电路和时序逻辑电路组成,555定时器组成多谐振荡器产生脉冲,在脉冲控制下的组合计数器电路通过一系列的触发产生数字信号,数字信号经译码器译码后输入到显示数码管显示时间。 电子秒表的广泛应用提高了人们的工作效率,随着电子技术的发展,电子秒表的精度,电路简易型等到了很大的提高,功能得到了完善。 关键词:秒表定时器效率

电子秒表的设计与制作

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 电子秒表的设计与制作 初始条件: (1)计数精度可达1/100秒 (2)可显示时间99.99秒 (3)具有开关可启动,暂停,清零功能 选作:设计可改变计时时间(最大59.99秒)的电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月28日~7月1日:明确课题,收集资料,方案确定 7月2日~7月6日:整体设计,硬件电路调试 7月6日~7月9日;报告撰写,交设计报告,答辩 指导教师签名:年月日 系主任(或责任教师)签名:年月

摘要 电子秒表广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合,如测定短时间间隔的仪表。秒表有机械秒表和电子秒表两类。机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒,广泛应用于科学研究、体育运动及国防等方面。在当今非常注重工作效率的社会环境中,定时器能给我们的工作、生活以及娱乐带来很大的方便。充分利用定时器,能有效的加强我们的工作效率。随着电子技术的发展,电子技术在各个领域的运用也越来越广泛。人们对它的认识也逐步加深。在秒表的设计上功能不断完善,在时间的设计上不断的精确。 关键词:电子秒表,定时器,效率

数字电子秒表课程设计报告

重庆机电职业技术学院课程设计说明书 设计名称:单片机原理设计 题目:数字电子秒表 学生姓名: X X 专业:电气自动化 班级: 1 班 学号: XXXXXXXXXXXXXXX 指导教师: X X X 日期: 2010 年 6 月 16 日

重庆机电职业技术学院 课程设计任务书 电气自动化专业2008 年级 1 班XX 一、设计题目 数字电子秒表设计 二、主要内容 利用独立式按键AN1(P0.0)启动定时器T0计时,AN2(P0.1)停止用于停止定 时器T0计时,使用2个八段数码管输出记时值,秒钟的计时时间范围在0~99秒内。 三、具体要求 3.1、实验电路连线 ①本实验中要把跳线JP1(板子右上角,LED灯正上方)跳到DIG上,J23(在黄色继电器右上方)接到右端;把跳线J9(紧贴51插座右方,蜂鸣器下方,RST复位键上方)跳到右端;把跳线J6跳到AN端,AN1(P0.0)~ AN4(P0.4),(J6在51插座右下方,4×4键盘左上方)。 3.2、实验说明 ①本实验中要将记时结果送2个数码管中显示,这可通过调用编写的显示子程序来实现,实现过程是:先将记时值一位一位的拆开,分别送到显示缓冲区(片内数据存储30H~35H设定为显示缓冲区用于存放段码数据, 其中32H~35H里面均存放0的段码0DFH)中去,然后调用显示子程序。②与定时器有关的寄存器有工作方式寄存器TMOD和控制寄存器TCON。TMOD用于设置定时器/计数器的工作方式0-3,并确定用于定时还是用于计数。TCON主要功能是为定时器在溢出时设定标志位,并控制定时器的运行或停止等。本实验中用定时器T0产生1秒钟基本时间单位,本系统fosc=11.0592MHz,当定时器T0工作在方式1(16位)时,最大定时时间为:216* 0.9216μs= 60397.9776μs;再利用软件记数,当T0中断17次时,所用时间为60397.9776*17=1026765.6192μs≈1s因此在T0中断处理程序中,要判断中断次数是否到17次,若不到17次,则只使中断次数加1,然后返回,若到了17次,则使电 子秒表记时值加1(十进制),请参考硬件实验四有关内容。③使用独立式按键 AN1(P0.0)~ AN2(P0.1)时要注意采用软件消抖动的方法,一般采用软件延时(10ms)的方法,即通过P0.0和P0.1的输入值的变化控制秒表的启动和停止。 3.3

电子秒表课程设计报告

电子信息工程专业10级学生单片机原理课程设计任务书 电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、设计方案分析

1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H-33H中。其中31H存放分钟变量,32H存放秒钟变量,33H存放10ms计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD码数据的对应段码存放在ROM表中。显示时,先取出31H -33H某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 INT中断完成,定时溢出中断周期为1ms,当一处中断后向CPU 计时通过1 发出溢出中断请求,每发出一次中断请求就对毫秒计数单元进行加一,达到10次就对十毫秒位进行加一,依次类推,直到4.59.99秒重新复位。 再看按键的处理。这两个键可以采用中断的方法,也可以采用扫描的方法来识别。复位键主要功能在于数值复位,对于时间的要求不是很严格。而开始和停止键则是用于对时间的锁定,需要比较准确的控制。因此可以对复位按键采取扫描的方式。而对开始和停止键采用外部中断的方式。 设计中包括硬件电路的设计和系统程序的设计。其硬件电路主要有主控制 器,显示电路和回零、启动、查看、停表电路等。主控制器采用单片机STC89C52,

电子秒表课程设计

计算机硬件技术课程设计 学院:自动化工程学院 班级:_________________________ 学号:_________________________ 设计题目:9、电子秒表设计 2015年1月

一、设计题目:电子秒表设计 设计一个可任意启动/停止的电子秒表,要求用6 位LED 数码显示,计时单位为1/100 秒。利用功能键进行启/ 停控制。其功能为:上电后计时器清0,当第一次(或奇数次)按下启/ 停键时开始计数。第2 次(或偶数次)按下该键时停止计时,再一次按启/ 停键时清零后重新开始计时。可用开关控制,也可用按键控制。二、设计目的通过本项课程设计,对微机原理课程中涉及的芯片结构、控制原理、硬件编程等方面有一定的感性认识和实践操作能力,更好的理解微机原理课程中讲述的基本原理和概念。 进行微机原理课程设计前,应学习并掌握了8086/8088 汇编语言编程方法,掌握了8255 、8253 存储器等芯片的基本结构和工作原理,掌握了芯片编程控制的方法。 三、设计方案以及论证 1、整体设计思想 使用8253 工作在方式0 计数,对1/100S 计数,并讲计数值写入bl 中并与100 比较若不相等,则将计数值装换为10 进制后送8255 控制端显示,如相等则1S 计数程序加1 之后并与59 比较若不相等则将计数值装换为10 进制后送8255 控制端显示,如相等则1min 计数程序加1 之后并与59 比较若不相等则将计数值装换为10 进制后送8255 控制端显示,如相等则计数程序加1 之后产生溢出,跳转清零程序将计数清零,同时数码管清零。 2、使用各芯片的作用及工作原理 1)定时器/ 计数器8253 用系统8253 定时器提供的55ms 定时单位,设计秒表定时程序。 有关系统定时方法:PC 机系统中的8253 定时器0 工作于方式3,外部

数字电子秒表设计总结报告1

数字电子秒表设计总结报告 一. 工作原理 本数字电子秒表设计由启动、清零复位电路、多谐振荡电路、分频计数电路、译码显示电路等组成。如下图所示: 启动清零复位电路主要由U6A 、U6B 、U7B 、U7D 组成,其本质是一个RS 触发器和单稳态触发器。J1控制数字秒表的启动和停止,J2控制数字秒表的清零复位。开始时把J1合上,J2打开,运行本电路,数字秒表正在计数。 当打开J1,合上J2键,J2与地相接得到低电平加到U6B 的输入端,U6B 输出高电平又加到U6A 的输入端,而U6A 的另一端通过电阻R15与电源相接得到高电平,(此时U6B 与U6A 组成RS 触发器),U6A 输出低电加到U7A 的输入端,U7A 被封锁输出高电平加到U5的时钟端,因U5不具备时钟脉冲条件,U5不能输出脉冲信号,因此U3、U4时钟端无脉冲而停止计数。当J1合上时,打开J2键,J1与地相接得到低电平加到U6A 的输入端,U6A 输出高电平加到 U6B

的输入端,U6B输出低电平加至U7B,使U7B输出高电平,因电容两端电压不能跃变,因此在R7上得到高电平加到U7D输入端,U7D输出低电平(进入暂态)同时加到U3、U4、U5的清零端,使得U3、U4的Q D---Q A输出0000,经U1、U2译码输出驱动U9、U10显示“00”。因为U7B与U7D组成一个单稳态电路,经过较短的时间,U7D的输出由低电平变为高电平,允许U3、U4、U5计数。同时U6A输出高电平加到U7A的输入端,将U7A打开,让555的3脚输出100KHZ的振荡信号经U7A加到U5的时钟脉冲端,使得U5具备时钟脉冲条件,U5的9、10、7脚接高电平,U5构成十分频器,对时钟脉冲计数。当U5接收一个脉冲时,U5内部计数加1,如果U5接收到第十个脉冲时,U5的15脚(RCO端)输出由低电平跳变为高电平作为U4的时钟脉冲,从而实现了对振荡信号的十分频,产生周期为0.1S的脉冲加至U4的时钟端。U4的9、10、7脚接高电平,当U4接收到来自U5的脉冲时,U4的Q D---Q A输出0001加到U2的DCBA端,经U2译码输出1001111经电阻R8~R14驱动数码管U10显示,此时数码管显示“1”,当U4计数到1001时,U4的15脚输出高电平接到U7C,经反相后得到低电平,加到U3的时钟脉冲端,U3A不具备时钟脉冲条件,当U4再接收一个脉冲时,U4的输出由1001翻转为0000,此时U4的15脚输出低电平通过U7C反相输出高电平,从而得到一上升沿脉冲加至U3的时钟端,使得U3的Q D---Q A输出0001加到U1的DCBA输入端,经U1译码输出100111,经电阻R1~R7驱动数码管U9,数码管显示“1”。如此循环的计数,最后数码管U9、U10显示最大值99即9.9秒。 由集成块555、电阻R19、R18、电容C1、C2组成多谐振荡器,当接通电源,电源通过电阻R19与R18对电容C2进充电,当UC2上升到2/3VCC时,集成块555的3脚输出低电平,内部三极管导通,C2通电阻R19进行放电,当UC2下降到1/3VCC时,内部三极管截止,集成块555的3脚输出高电平,接着电源又通过电阻R19与R18对电容C2进充电,当UC2上升到2/3VCC时,集成块555的3脚输出低电平,如此循环的充、放电,555的3脚输出100HZ的矩形方波信号加到U7A的输入端。

单片机多功能秒表课程设计报告

单片机课程设计 课程设计任务书 20 17 -20 18 学年第一学期第17周-18 周 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

摘要 本设计是设计一个单片机控制的多功能秒表系统。 近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动着传统控制检测日新月异的更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面的知识是不够的,还要根据具体的硬件结构,以及针对具体的应用对象的软件结合,加以完善。秒表的出现,解决了传统的由于人为因素造成的误差和不公平性。 本设计的多功能秒表系统采用A T89C51单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、电源电路、LED数码管以及按键电路来设计计时器。将软、硬件有机地结合起来,使得系统能够正确地进行计数,并且结合相应的显示驱动程序,使数码管能够正确地显示时间,暂停和中断。我们设计的秒表可以同时记录八个相对独立的时间,通过上翻下翻来查看这八个不同的计时值,可谓功能强大。其中软件系统采用汇编语言编写程序,包括显示程序,计数程序,中断,延时程序,按键消抖程序等,硬件系统利用PROTEUS强大的功能来实现,简单且易于观察,在仿真中就可以观察到实际的工作状态。 关键字:单片机,多功能秒表 小组成员:许乐,郭利铂 小组分工: 小组成员:讨论并确定秒表要实现哪些功能 许乐:硬件电路的设计仿真,查阅资料 郭利铂:编写程序,撰写实验报告

目录 1.概述 (4) 1.1设计目的 (4) 1.2设计要求 (4) 1.3设计意义 (4) 2.系统总体方案及硬件设计 (4) 2.1系统总体方案 (4) 2.2硬件设计 (5) 2.2.189C51单片机 (5) 2.2.2晶体振荡电路 (6) 2.2.3 复位电路 (7) 2.2.5显示电路 (8) 2.2.6 系统电路图 (9) 3.软件设计 (9) 3.1设计特点 (9) 3.2设计思路 (10) 3.2.1程序流程图 (10) 3.2.2程序 (10) 4.PROTEUS软件仿真 (14) 4.1仿真 (14) 4.2仿真结果描述 (15) 4.3结论及进一步设想 (16) 5.元器件清单 (16) 6.课程设计体会 (16) 7.参考文献 (18)

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

电子秒表课程设计报告

西安郵電學院 控制系统课程设计报告书 系部名称:信息与控制系 学生姓名:XXX 专业名称:测控技术与仪器 班级:测控XXXX 2010年9月13日至 时间: 2010 年9月26日

电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、 设计方案分析 1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS 强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD 显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H -33H 中。其中31H 存放分钟变量,32H 存放秒钟变量,33H 存放10ms 计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD 码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD 码数据的对应段码存放在ROM 表中。显示时,先取出31H -33H 某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 计时通过1INT 中断完成,定时溢出中断周期为1ms ,当一处中断后向CPU

电子跑秒表的设计

目录 1设计要求.. (1) 2设计方案及实现 (1) 2.1系统功能分析 (1) 2.2功能实现方案 (1) 3使用的元件及功能 (3) 3.1 89c51引脚图与功能说明 (3) 3.2 LED数码管 (6) 4实现电路图及调试 (6) 4.1实现电路图 (6) 4.2 调试及问题解决 (7) 4.3 仿真结果 (7) 5心得体会 (8) 6 参考文献 (9) 7 附录 (9)

电子跑秒表的设计 内容提要:本次课程设计通过电子秒表的设计与制作,学 到了单片机最小系统的概念与设计,对单片机调用、定时等功 能模块有了进一步的了解,并利用这个设计对单片机的编程方 法有了一定程度的深入了解,并对51单片机有了深入的了解。 关键字:89c51单片机、键控、中断、数码管显示 1设计要求 以89s51单片机为核心芯片,设计一个模拟电子跑秒表,要求如下: A、利用LED显示秒表的计时过程; B、可以对秒表进行初始时间设置; C、可以调整秒表的时间。 2设计方案及实现 2.1系统功能分析 本次课设设计电路通过以下四个按键实现要求功能:Start键实现秒表的启动计时;Stop实现秒表的清零;Pause键实现计时的停止;Set键实现对秒表的时间预置。同时以上各键按下后能在数 码管上清晰显示时间的改变,以供使用者操作以及测试。 2.2功能实现方案 由系统的功能分析可以得到,功能的实现是通过对按键的控制得到,在源代码的编写中则可以通过对各个按键的调用实现键控功能,同时显示在数码管上。设计语言采用汇编语言,通过对按键的判断(JNB语句)以及调用(DISP,INC等),完成键控数码

电子秒表设计报告

电子秒表设计报告 目录 1设计目的 (1) 2设计任务和要求 (2) 3设计的方案的选择和论证 (3) 4电路设计计算与分析 (4) 4.1模块总体方案设计 (4) 4.1.1 555时钟块发生模设计 (4) 4.1.2 输出及显示模块 (5) 4.1.3 控制电路设计 (6) 4.2 所需的元器件 (7) 4.2.1 555定时器 (7) 4.2.2 基本RS触发器 (8) 4.2.3 译码器芯片 (8) 5总结及心得 (9) 6附录 (12) 6.1元器件列表 (12) 6.2电路仿真图: (13) 7参考文献 (14)

1设计目的 1学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数器、译码器显示等单元电路的综合应用。 2熟悉555定时器的使用以及相关电路的设计,巩固RS触发器的功能以及特性,熟悉RS触发器的使用和设计。 3学习电子秒表的调试方法。

2设计任务和要求 1利用555定时器制作一个所需频率的时钟发生装置。 2利用74LS248、74LS160和数码显示器接受555定时器输出的计数脉冲,并显示出来。 3使用基本RS触发器制作电子秒表的控制开关,实现开始计数,停止并保持计数和清零重新开始计数的功能。

3设计的方案的选择与论证 整体设计布局框图如下图所示: 图3.1 整体设计布局框图 根据要求使用555定时器产生时钟脉冲、使用74LS248、74LS160和数码显示器、利用基本RS触发器制作电子秒表的控制开关。

4电路设计计算与分析 4.1模块总体方案设计 4.1.1 555时钟块发生模设计 利用555定时器实现多谐振荡电路能够完成时钟信号发生器的功能,通过调节电路中电阻电容值使多谐振荡器的输出信号频率为4kHz。根据公式,取R1=7.215Ω K,R2=14.43Ω K可调电阻,C=10nF 构成一个能产生频率为4kHz的脉冲的多谐振荡器。 图4.1 555时钟发生模块 图4.2 555时钟脉冲波形

电子秒表课程设计

电子秒表课程设计报告 目录 一、设计要求 (2) 二、设计的目的与作用 (2) 三、设计的具体体现 (2) 1. 电子秒表的基本组成 (3) 2.电子秒表的工作原理 (3) 3.电子秒表的原理图 (4) 4. 单元电路设计 (4) 5.设计仿真与PCB制版 (12) 四、心得体会 (17) 五、附录 (18) 六、参考文献 (20)

一、设计要求 1.以0.01秒为最小单位进行显示。 2.秒表可显示0.01~59:59:99秒的量程。 3.该秒表具有清零、开始计时、停止计时功能。 二、设计方案 方案一:通过单片机来实现电子秒表 基于51单片机电子秒表,设计简单,而且技术准确,缺点是价格相比于数字电路实现的秒表技术要昂贵。 方案二:采用数字电路来实现秒表计数,优点是价格便宜,计数精确,反应较快,缺点是,电路芯片较多,设计电路复杂。 经过比较选择了较为经济适用的数字电路。 二、设计的目的与作用 1.培养我们运用有关课程的基础理论和技能解决实际问题,并进一步提高专业基本技能、创新能力。通过课程设计,学习到设计写作方法,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计和研究成果。 2. 熟悉555方波振荡器的应用。 3.熟悉计数器的级联及计数、译码、显示电路的整体配合。

4.建立分频的基本概念。 三、设计的具体体现 1.电子秒表的基本组成 电子秒表电路的基本组成框图如图所示,它主要由基本RS 触发器、多谐振荡器、计数器和数码显示器4个部分组成。 电子秒表电路的基本组成(方框图)如下: 图(1)电子秒表基本组成方框图 2.电子秒表的工作原理 由555定时器构成多谐振荡器,用来产生50Hz 的矩形波。第Ⅰ块计数器作5分频使用,将555输来的50Hz 的脉冲变为0.1秒的计数脉冲,在输出端Qd 取得,作为第2块计数器的始终输入,第2、第3块计数器QA 与CP2相连,都已接成8421码十进 基本RS 触发器 多谐振荡器 单稳态触发器 计数器 译码显示器

电子秒表课程设计..

电子秒表 摘要 电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter. Keyword:Timing accuracy counter display

电子秒表课程设计

湖南人文科技学院 课程设计报告 课程名称:电子技术课程设计 设计题目数字电子秒表 系别: 专业: 班级: 学生姓名: 学号: 起止日期: 指导教师: 教研室主任:

摘要 本次设计的数字电子秒表以555定时器为核心,由多谐振荡电路,计数译码显示电路,控制电路三大主要模块构成。由NE555定时器组成的多谐振荡电路通过控制阻值产生10Hz,1Hz的脉冲;输入由74LS192芯片组成的计数电路、74LS48组成的译码电路在数码管FJS5101显示器上输出,以上部分组成计数译码显示电路;通过控制电路实现复位,置数功能,灵活启动停止。电路是采用外接电源来实现的。经过仿真、布线、制板等工作,数字秒表成形。本组在此次设计过程中主要是先分析设计要求,根据提出的设计要求选取合适的芯片,再用multisim 10 画出电路图,进行仿真。再用Prote 2004 Sp2绘制原理图和PCB图,并把PCB图转印到印制板上完成焊接和调试等工作。最终完成数字电子秒表的工作。 关键词:NE555定时器;74LS192计数器;74LS48译码器;控制电路

目录 1、方案论证与对比 (1) 1.1 方案一 (1) 1.2方案二 (2) 1.3方案的对比与选择 (2) 2、数字电子秒表总体方案的分析与设计 (3) 2.1电子秒表电路总图 (3) 2.2控制电路 (4) 2.3 脉冲产生原理 (5) 2.4计数译码显示单元 (7) 2.4.1 计数器 (8) 2.4.2 译码器 (9) 2.4.3 七段显示数码管 (11) 3、调试与检测 (12) 3.1调试方法 (12) 3.2调试故障的原因与排除 (13) 3.3调试结果 (14) 4、总结与致谢 (14) 5、参考文献 (16) 6、附录 (17) 附录一元件清单 (17) 附录二总电路的PCB图 (17) 附录三总电路的仿真图 (18)

电子秒表课程设计

2014 ~ 2015学年第1 学期 《单片机C51课程设计》 课程设计报告 题目:电子秒表设计 专业:电气工程学院电子信息系 姓名:江鑫瞿康保李阿龙李贺林超刘浩班级:12级电子信息2班 导师:王银花 电气工程学院 2014 年11月29日

任务书

摘要 基于数字电子技术基础、模拟电子技术基础和电路原理有关知识,对电子秒表电路进行设计和制作。该电子秒表可以实现准确显示00.00—99.99制时间,手动调节时间,随时启动、清零、置时间等功能,使用方便,制作简单。本文针对电子秒表进行初步框架设计,并对多种方案进行了认真比较和验证,在此基础上,又进一步详细介绍了时间脉冲发生器、秒计数器、译码及驱动显示电路。在总体电路图组装完成以后,针对设计好的电路,用Multisim软件进行了仿真与调试,逐步解决设计过程中出现的一系列问题。在电路设计过程中,特意将选做部分即校时电路部分作为电路设计的主要内容。最后对应的电子秒表设计方案对制作好的电子秒表功能进行总体验证。 关键词:数字电子技术;脉冲发生器;计数器电路;Multisim软件

目录 1.设计要求 (5) 2.设计方案分析 (5) 2.1方案设计 (5) 2.2背景知识介绍 (6) 2.2.1 单片机相关知识 (6) 2.2.1.1 运算器 (7) 2.2.1.2 程序计数器PC (7) 2.2.1.3 令寄存器 (8) 3.硬件设计 (9) 3.1单片机简介 (9) 3.2电源电路 (9) 3.3晶体振荡电路 (9) 3.4复位电路 (9) 3.5显示电路 (10) 3.6键盘电路 (10) 4.硬件主电路图设计 (11) 5.软件设计 (12) 5.1软件设计概述 (12) 5.2主程序流程图 (12) 6.测试数据及设计结果 (12) 7.调试中出现的错误及解决方法 (13) 7.1 实验中遇到的问题及解决方法 (13) 7.2实验心得 (13) 8. 程序 (14) 9.参考文献 (21)

电子秒表的设计

电子秒表得设计 目录 一、设计要求 (2) 二、设计得目得与作用 (2) 三、设计得具体体现………………………………………2 1。电子秒表得基本组成………………………………3 2、电子秒表得工作原理…………………………………3 3。电子秒表得原理图 (4) 4. 单元电路设计 (4) 5。设计仿真与PCB制版 (12) 四、心得体会…………………………………………………17 五、附录 (18) 六、参考文献…………………………………………………20 一、设计要求 1.以0.01秒为最小单位进行显示、 2.秒表可显示0、01~59:59:99秒得量程、 3.该秒表具有清零、开始计时、停止计时功能、 二、设计方案

方案一:通过单片机来实现电子秒表 基于51单片机电子秒表,设计简单,而且技术准确,缺点就是价格相比于数字电路实现得秒表技术要昂贵。 方案二:采用数字电路来实现秒表计数,优点就是价格便宜,计数精确,反应较快,缺点就是,电路芯片较多,设计电路复杂。 经过比较选择了较为经济适用得数字电路。 二、设计得目得与作用 1。培养我们运用有关课程得基础理论与技能解决实际问题,并进一步提高专业基本技能、创新能力。通过课程设计,学习到设计写作方法,能用文字、图形与现代设计写作方法系统地、正确地表达课程设计与研究成果。 2、熟悉555方波振荡器得应用。 3、熟悉计数器得级联及计数、译码、显示电路得整体配合、 4、建立分频得基本概念。 三、设计得具体体现 1.电子秒表得基本组成 电子秒表电路得基本组成框图如图所示,它主要由基本RS触发器、多谐振荡器、计数器与数码显示器4个部分组成。

电子秒表的设计.doc

电子秒表的设计 目录 一、要求??????????????????? 2 二、的目的与作用??????????????? 2 三、的具体体??????????????? 2 1.子秒表的基本成???????????? 3 2. 子秒表的工作原理????????????? 3 3. 子秒表的原理?????????????? 4 4.元路???????????????? 4 5.仿真与 PCB制版????????????? 12 四、心得体会???????????????????17 五、附?????????????????????18 六、参考文献???????????????????20 一、设计要求 1.以 0.01 秒最小位行示。 2.秒表可示 0.01 ~59:59:99 秒的量程。 3.秒表具有清零、开始、停止功能。 二、方案

方案一:通过单片机来实现电子秒表 基于 51 单片机电子秒表,设计简单,而且技术准确,缺点 是价格相比于数字电路实现的秒表技术要昂贵。 方案二:采用数字电路来实现秒表计数,优点是价格便宜,计数精确,反应较快,缺点是,电路芯片较多,设计电路复杂。 经过比较选择了较为经济适用的数字电路。 二、设计的目的与作用 1.培养我们运用有关课程的基础理论和技能解决实际问题,并进 一步提高专业基本技能、创新能力。通过课程设计,学习到设计 写作方法,能用文字、图形和现代设计写作方法系统地、正确地 表达课程设计和研究成果。 2.熟悉 555 方波振荡器的应用。 3.熟悉计数器的级联及计数、译码、显示电路的整体配合。 4.建立分频的基本概念。 三、设计的具体体现 1.电子秒表的基本组成 电子秒表电路的基本组成框图如图所示,它主要由基本RS 触发器、多谐振荡器、计数器和数码显示器 4 个部分组成。

电子秒表设计数字逻辑课程设计范本

电子秒表设计数字逻辑课程设计

第一章实验概述 a)实验设计任务 1.1.1 设计任务 1. 设计一个电子秒表,可显示4位数,计时范围0——10分钟 2. 显示精确到0.1秒,对0.01秒进行四舍五入 3. 有暂停、启动、恢复和连续功能,显示板由发光二极管构成2.1 实验目的与设计要求 2.1.1 实验目的 1. 学习数字电路基本RS触发器、单稳态触发器、时钟发生器、计数 器以及译码显示器等单元电路的综合应用; 2. 了解电子秒表的组成与工作原理; 3. 熟悉中规模集成电路的应用; 4. 掌握电子秒表的设计、调试以及故障排除方法; 5. 培养书写综合实验报告的能力。 2.1.2 设计要求 1. 根据设计任务要求,综合运用数字电子技术课程中所学到的理论 知识与实践技能独立完成设计课题。 2. 根据课题查阅书籍,独立思考,深入研究课程设计中遇到的问 题,培养自己分析、解决问题的能力。 3. 根据设计要求,从选择设计方案开始,首先按单元电路进行

设计,选择合适的元器件,最后画出总的电路图。 4. 学会电子电路的连线安装和调试技能,最终实现任务要求的全部功能;电路布局合理,走线清楚,工作可靠。 5. 写出完整的实验报告,调试中出现的异常现象的分析与讨论。 第二章电子秒表的设计过程 2.1 电路的设计与元件的选择 2.1.1 总体方案的设计

图 2.1 电子秒表一般由振荡器、分频器、计数器、译码器、显示器等几部分组成。其中振荡器和分频器组成标准秒信号发生器,由不同进制的计数器、译码器和显示器组成计时系统。信号送入计数器,累计结果以分、秒、分秒的数字显示出来,分、分秒由十进制计数器构成,秒由六十进制计数器构成。其原理框图(如图2.1) 2.1.2 所需元件的选择 1. 二输入与门、二输入与非门、二输入或门、反相器 2. 多谐振荡器:f=100Hz(集成555定时器的应用)(如图 2.2) 振荡周期T=0.7(R1+2R2)C,调节滑动变阻R2可输出不同的频率的脉冲信号;此振荡器在电路中是为分频器和四舍五入电路提供脉冲信号。

相关文档