文档库 最新最全的文档下载
当前位置:文档库 › 数电模电超有用知识点-值得拥有演示教学

数电模电超有用知识点-值得拥有演示教学

数电模电超有用知识点-值得拥有演示教学
数电模电超有用知识点-值得拥有演示教学

数电模电超有用知识点-值得拥有

《数字电子技术》重要知识点汇总

一、主要知识点总结和要求

1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421BCD 、格雷码之间进行相互转换。

举例1:(37.25)10= ( )2= ( )16= ( )8421BCD 解:(37.25)10= ( 100101.01 )2= ( 25.4 )16= ( 00110111.00100101 )8421BCD 2.逻辑门电路: (1)基本概念

1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。

2)TTL 门电路典型高电平为3.6 V ,典型低电平为0.3 V 。 3)OC 门和OD 门具有线与功能。

4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。 5)门电路参数:噪声容限V NH 或V NL 、扇出系数N o 、平均传输时间t pd 。 要求:掌握八种逻辑门电路的逻辑功能;掌握OC 门和OD 门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。

解:由逻辑图写出表达式为:C B A C B A Y ++=+=,则输出Y 见上。 3.基本逻辑运算的特点:

与运算:见零为零,全1为1;或运算:见1为1,全零为零;

与非运算:见零为1,全1为零;或非运算:见1为零,全零为1;

异或运算:相异为1,相同为零;同或运算:相同为1,相异为零;

非运算:零变 1, 1 变零;

要求:熟练应用上述逻辑运算。

4. 数字电路逻辑功能的几种表示方法及相互转换。

①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。

②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。

③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。

④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。

⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。

⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。

要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。

5.逻辑代数运算的基本规则

①反演规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成

“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换

成原变量,那么所得到的表达式就是函数Y的反函数Y(或称补函数)。这

个规则称为反演规则。

②对偶规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成

“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持不变,则可得到的一个

新的函数表达式Y',Y'称为函Y的对偶函数。这个规则称为对偶规则。要

求:熟练应用反演规则和对偶规则求逻辑函数的反函数和对偶函数。

举例3:求下列逻辑函数的反函数和对偶函数

解:反函数:;对偶函数:

6.逻辑函数化简

要求:熟练掌握逻辑函数的两种化简方法。

①公式法化简:逻辑函数的公式化简法就是运用逻辑代数的基本公式、定理和

规则来化简逻辑函数。

举例4:用公式化简逻辑函数:C

B

BC

A

ABC

Y+

+

=

1

解:

②图形化简:逻辑函数的图形化简法是将逻辑函数用卡诺图来表示,利用卡诺

图来化简逻辑函数。(主要适合于3个或4个变量的化简)

举例5:用卡诺图化简逻辑函数:)6,4(

)7,3,2,0(

)

,

,

(d

m

C

B

A

Y∑

+

=

E

D

C

B

A

Y+

=

)

)(

(E

D

C

B

A

Y+

+

+

=)

)(

(E

D

C

B

A

Y+

+

+

=

'

解:画出卡诺图为

则B C Y

+=

7.触发器及其特性方程 1)触发器的的概念和特点:

触发器是构成时序逻辑电路的基本逻辑单元。其具有如下特点: ①它有两个稳定的状态:0状态和1状态;

②在不同的输入情况下,它可以被置成0状态或1状态,即两个稳态可以相互转换;

③当输入信号消失后,所置成的状态能够保持不变。具有记忆功能 2)不同逻辑功能的触发器的特性方程为: RS 触发器:n n Q R S Q +=+1

,约束条件为:RS =0,具有置0、置1、保持功

能。 JK 触发器:n n n Q K Q J Q

+=+1

,具有置0、置1、保持、翻转功能。

D 触发器: D Q n =+1,具有置0、置1功能。 T 触发器: n n n Q T Q T Q

+=+1

,具有保持、翻转功能。

T ′触发器: n n Q Q =+1(计数工作状态),具有翻转功能。

要求:能根据触发器(重点是JK-FF 和D-FF )的特性方程熟练地画出输出波形。

举例6:已知J ,K-FF 电路和其输入波形,试画出

8.脉冲产生和整形电路

1)施密特触发器是一种能够把输入波形整形成为适合于数字电路需要的矩形脉冲的电路。要求:会根据输入波形画输出波形。

特点:具有滞回特性,有两个稳态,输出仅由输入决定,即在输入信号达到对应门限电压时触发翻转,没有记忆功能。

2)多谐振荡器是一种不需要输入信号控制,就能自动产生矩形脉冲的自激振荡电路。

特点:没有稳态,只有两个暂稳态,且两个暂稳态能自动转换。

3)单稳态触发器在输入负脉冲作用下,产生定时、延时脉冲信号,或对输入波形整形。

特点:①电路有一个稳态和一个暂稳态。

②在外来触发脉冲作用下,电路由稳态翻转到暂稳态。

③暂稳态是一个不能长久保持的状态,经过一段时间后,电路会自动返回到稳态。

要求:熟练掌握555定时器构成的上述电路,并会求有关参数(脉宽、周期、频率)和画输出波形。

举例7:已知施密特电路具有逆时针的滞回特性,试画出输出波形。

解:

9.A/D 和D/A 转换器 1)A/D 和D/A 转换器概念:

模数转换器:能将模拟信号转换为数字信号的电路称为模数转换器,简称A/D 转换器或ADC 。由采样、保持、量化、编码四部分构成。

数模转换器:能将数字信号转换为模拟信号的电路称为数模转换器,简称D/A 转换器或DAC 。由基准电压、变换网络、电子开关、反向求和构成。 ADC 和DAC 是沟通模拟电路和数字电路的桥梁,也可称之为两者之间的接口。 2)D/A 转换器的分辨率

分辨率用输入二进制数的有效位数表示。在分辨率为n 位的D/A 转换器中,输出电压能区分2n 个不同的输入二进制代码状态,能给出2n 个不同等级的输出模拟电压。

分辨率也可以用D/A 转换器的最小输出电压与最大输出电压的比值来表示。 举例8:10位D/A 转换器的分辨率为:

3)A/D 转换器的分辨率A/D 转换器的分辨率用输出二进制数的位数表示,位数越多,误差越小,转换精度越高。

001.01023

1

12110

≈=-

举例9:输入模拟电压的变化范围为0~5V,输出8位二进制数可以分辨的最小模拟电压为5V×2-8=20mV;而输出12位二进制数可以分辨的最小模拟电压为5V×2-12≈1.22mV。

10.常用组合和时序逻辑部件的作用和特点

组合逻辑部件:编码器、译码器、数据选择器、数据分配器、半加器、全加器。

时序逻辑部件:计数器、寄存器。

要求:掌握编码器、译码器、数据选择器、数据分配器、半加器、全加器、计数器、寄存器的定义,功能和特点。

举例10:能对两个1位二进制数进行相加而求得和及进位的逻辑电路称为半加器。

模电复习资料

第一章半导体二极管

一.半导体的基础知识

1.半导体---导电能力介于导体和绝缘体之间的物质(如硅Si、锗Ge)。

2.特性---光敏、热敏和掺杂特性。

3.本征半导体----纯净的具有单晶体结构的半导体。

4. 两种载流子----带有正、负电荷的可移动的空穴和电子统称为载流子。

5.杂质半导体--在本征半导体中掺入微量杂质形成的半导体。体现的是半导体的掺杂特性。

*P型半导体:在本征半导体中掺入微量的三价元素(多子是空穴,少子是电子)。

*N型半导体: 在本征半导体中掺入微量的五价元素(多子是电子,少子是空穴)。

6. 杂质半导体的特性

*载流子的浓度---多子浓度决定于杂质浓度,少子浓度与温度有关。

*体电阻---通常把杂质半导体自身的电阻称为体电阻。

*转型---通过改变掺杂浓度,一种杂质半导体可以改型为另外一种杂质半导体。

7. PN结

* PN结的接触电位差---硅材料约为0.6~0.8V,锗材料约为0.2~0.3V。

* PN结的单向导电性---正偏导通,反偏截止。

8. PN结的伏安特性

二. 半导体二极管

*单向导电性------正向导通,反向截止。

*二极管伏安特性----同PN结。

*正向导通压降------硅管0.6~0.7V,锗管0.2~0.3V。

*死区电压------硅管0.5V,锗管0.1V。

3.分析方法------将二极管断开,分析二极管两端电位的高低:

若 V

阳 >V阴( 正偏 ),二极管导通(短路);

若 V

1)图解分析法

该式与伏安特性曲线

的交点叫静态工作点Q。

2) 等效电路法

?直流等效电路法

*总的解题手段----将二极管断开,分析二极管两端电位的高低: 若 V阳 >V阴( 正偏 ),二极管导通(短路);

若 V阳

*三种模型

?微变等效电路法

三. 稳压二极管及其稳压电路

*稳压二极管的特性---正常工作时处在PN结的反向击穿区,所以稳压二极管在电路中要反向连接。

第二章三极管及其基本放大电路

一. 三极管的结构、类型及特点

1.类型---分为NPN和PNP两种。

2.特点---基区很薄,且掺杂浓度最低;发射区掺杂浓度很高,与基区接触

面积较小;集电区掺杂浓度较高,与基区接触面积较大。

二. 三极管的工作原理

1. 三极管的三种基本组态

2. 三极管内各极电流的分配

* 共发射极电流放大系数 (表明三极管是电流控制器件

式子称为穿透电流。

3. 共射电路的特性曲线

*输入特性曲线---同二极管。

* 输出特性曲线

(饱和管压降,用U CES表示

放大区---发射结正偏,集电结反偏。

截止区---发射结反偏,集电结反偏。

4. 温度影响

温度升高,输入特性曲线向左移动。

温度升高I CBO、I CEO、I C以及β均增加。

三. 低频小信号等效模型(简化)

h

---输出端交流短路时的输入电阻,

ie

常用r be表示;

h

---输出端交流短路时的正向电流传输比,

fe

常用β表示;

四. 基本放大电路组成及其原则

1. VT、V CC、R b、R c 、C1、C2的作用。

2.组成原则----能放大、不失真、能传输。

五. 放大电路的图解分析法

1. 直流通路与静态分析

*概念---直流电流通的回路。

*画法---电容视为开路。

*作用---确定静态工作点

*直流负载线---由V CC=I C R C+U CE确定的直线。

*电路参数对静态工作点的影响

1)改变R b:Q点将沿直流负载线上下移动。

2)改变R c:Q点在I BQ所在的那条输出特性曲线上移动。

3)改变V CC:直流负载线平移,Q点发生移动。

2. 交流通路与动态分析

*概念---交流电流流通的回路

*画法---电容视为短路,理想直流电压源视为短路。

*作用---分析信号被放大的过程。

*交流负载线--- 连接Q点和V CC’点V CC’= U CEQ+I CQ R L’的直线。

3. 静态工作点与非线性失真

(1)截止失真

*产生原因---Q 点设置过低

*失真现象---NPN 管削顶,PNP 管削底。 *消除方法---减小R b ,提高Q 。 (2) 饱和失真

*产生原因---Q 点设置过高

*失真现象---NPN 管削底,PNP 管削顶。 *消除方法---增大R b 、减小R c 、增大V CC 。 4. 放大器的动态范围

(1) U opp---是指放大器最大不失真输出电压的峰峰值。 (2)范围

*当(U CEQ -U CES )>(V CC ’ - U CEQ )时,受截止失真限制,

U OPP =2U OMAX =2I CQ R L ’。

*当(U CEQ-U CES)<(V CC’ - U CEQ)时,受饱和失真限制,U OPP=2U OMAX=2 (U CEQ-U

)。

CES

*当(U CEQ-U CES)=(V CC’ - U CEQ),放大器将有最大的不失真输出电压。

六. 放大电路的等效电路法

1.静态分析

(1)静态工作点的近似估算

(2)Q点在放大区的条件

欲使Q点不进入饱和区,应满足R B>βRc。

2.放大电路的动态分析

* 放大倍数

* 输入电阻

* 输出电阻

七. 分压式稳定工作点共射

放大电路的等效电路法1.静态分析

2.动态分析

*电压放大倍数

在R e两端并一电解电容C e后

输入电阻

在R e两端并一电解电容C e后

* 输出电阻

八. 共集电极基本放大电路

1.静态分析

2.动态分析

* 电压放大倍数

* 输入电阻

* 输出电阻

3. 电路特点

* 电压放大倍数为正,且略小于1,称为射极跟随器,简称射随器。 * 输入电阻高,输出电阻低。

第三章场效应管及其基本放大电路

一. 结型场效应管( JFET)

1.结构示意图和电路符号

2. 输出特性曲线

(可变电阻区、放大区、截止区、击穿区)

转移特性曲线

U

----- 截止电压

P

二. 绝缘栅型场效应管(MOSFET)

分为增强型(EMOS)和耗尽型(DMOS)两种。

结构示意图和电路符号

2. 特性曲线

*N-EMOS的输出特性曲线

* N-EMOS的转移特性曲线

式中,I DO是U GS=2U T时所对应的i D值。

* N-DMOS的输出特性曲线

注意:u GS可正、可零、可负。转移特性曲线上i D=0处的值是夹断电压U P,此曲线表示式与结型场效应管一致。

三. 场效应管的主要参数

1.漏极饱和电流I DSS

2.夹断电压U p

3.开启电压U T

4.直流输入电阻R GS

5.低频跨导g m (表明场效应管是电压控制器件)

四. 场效应管的小信号等效模型

E-MOS 的跨导g m ---

五. 共源极基本放大电路

1.自偏压式偏置放大电路

* 静态分析

动态分析

若带有C s,则

2.分压式偏置放大电路

* 静态分析

模电数电及电力电子技术知识点

集成运算放大电路 输入级采用高性能的恒流源差动放大电路 要求输入阻抗高、差摸放大倍数大、共模抑制比高、差摸输入电压及共模输入电压范围大且静态电流小 作用减少零点漂移和抑制共模干扰信号 中间级采用共射放大电路 作用提供较高的电压增益 输入级要求其输出电压范围尽可能宽、输出电阻小以便有较强的带负载能力且非线性失真小 采用准互补输出级 偏置电路确定合适的静态工作点 采用准互补输出级 综合高差摸放大倍数、高共模抑制比、高输入阻抗、高输出电压、低输出阻抗的双端输入单端输出的差动放大器交直流反馈的判断电容隔直通交直流:短路交流:开路 串并联反馈的判断输入信号与反馈信号同时加在一个输入端上的是并联,反之 电压电流反馈的判断反馈电路直接从输出端引出的是电压反馈从负载电阻RL的靠近 “地”端引出的是电流反馈 直流脉宽调制PWM变换器 将固定电压的直流电源变换成大小可调的直流电源的DC-DC变换器又称直流斩波器。 它能从固定输入的直流电压产生出经过斩波的负载电。负载电压受斩波器工作率的控制。变 更工作率的方法与脉冲宽度调制(斩波频率f=1/T不变,改变导通时间t on)和频率调制(导 通时间t on或关断时间t off不变,改变斩波周期T即斩波频率f=1/T)两种。 斩波器的基本回落方式有升压(斩波器所产生的输出电压高于输入电压)和降压两种,改变回落元件的连接就可改换回路的方式。 用晶闸管作为开关的斩波器,由于晶闸管无自关断能力,它在直流回路里工作是,必须有一套使其关断的(强迫)换相(流)电路。晶闸管的换流方式有:电源换流、负载换流和 强迫换流。 负载换流缺点主要是电骡的揩振频率与L和C的大小有关,随着负载与频率的变化,换流的裕量也随之改变。 为了可靠换流,换流脉冲的幅值应足以消去晶闸管中的电流,脉冲的宽度应保证大于晶闸管的关断时间。 晶闸管斩波器的缺点是需要庞大的强迫换流电脑,是设备体积增大和损耗增加;而且斩波开关频率也低,致使斩波器电流的脉动幅度大,电源揩波也大,往往需加滤波器。 直流PWM变换器分不可逆、可逆输出两大类。前者输出只有一种极性的电压,而后者可输出正或负极性电压。如果在一个斩波周期中输出电压正、负相间的称为双极式可逆PWM 变换器;如果在一个斩波周期中输出电压只有一种极性电压的称为单极式可逆PWM变换 器。 双极式可逆PWM变换器的输出电压Uab在一个周期正、负相间。单机式可逆PWM变换器只在一个阶段中输出某一极限的脉冲电压+Uab或—Uab,在另一阶段中Uab=0. 无制动作用的不可逆输出PWM变换器电流始终是一个方向,因此不能产生制动作用,电动机只能作单象限运行,又称为受限式脉宽调制电路。 受限单极式可逆PWM变换器与单极式可逆PWM变换器的不同是避免了上下两个开关直通的可能性。 双极式脉宽调制器由三角波振荡器、电压比较器构成,单极式脉宽调制器由两只运算放

数电和模电知识点

模电复习资料 第一章半导体二极管 一.半导体的基础知识 1.半导体---导电能力介于导体和绝缘体之间的物质(如硅Si、锗Ge)。 2.特性---光敏、热敏和掺杂特性。 3.本征半导体----纯净的具有单晶体结构的半导体。 4. 两种载流子----带有正、负电荷的可移动的空穴和电子统称为载流子。 5.杂质半导体--在本征半导体中掺入微量杂质形成的半导体。体现的是半导体的掺杂特性。 *P型半导体:在本征半导体中掺入微量的三价元素(多子是空穴,少子是电子)。 *N型半导体: 在本征半导体中掺入微量的五价元素(多子是电子,少子是空穴)。6. 杂质半导体的特性 *载流子的浓度---多子浓度决定于杂质浓度,少子浓度与温度有关。 *体电阻---通常把杂质半导体自身的电阻称为体电阻。 *转型---通过改变掺杂浓度,一种杂质半导体可以改型为另外一种杂质半导体。 7. PN结 * PN结的接触电位差---硅材料约为0.6~0.8V,锗材料约为0.2~0.3V。 * PN结的单向导电性---正偏导通,反偏截止。 8. PN结的伏安特性 二. 半导体二极管 *单向导电性------正向导通,反向截止。 *二极管伏安特性----同PN结。 *正向导通压降------硅管0.6~0.7V,锗管0.2~0.3V。 *死区电压------硅管0.5V,锗管0.1V。 3.分析方法------将二极管断开,分析二极管两端电位的高低: 若 V阳 >V阴( 正偏 ),二极管导通(短路); 若 V阳

2) 等效电路法 直流等效电路法 *总的解题手段----将二极管断开,分析二极管两端电位的高低: 若 V阳 >V阴( 正偏 ),二极管导通(短路); 若 V阳

数电模电第二章知识点

数电模电第二章 知识点一 分压式共发射极放大电路(很重要)(课本P42) 分压式共发射极放大电路可以稳定静态工作点。 分压式共发射极放大电路分析 B 点的电流方程为: B 点的电位: ≈ (1) 静态分析:由于I 1>>I B , B U b2C C b1b2 R V R R +C C E E E E e BE B E B C ()T I I U U I R U U U I I ↑→↑→↑→↑=→=-↓→↓→↓b2B CC b1b2R U V R R =+C B I I β=() CE CC C c e U V I R R =-+12B I I I =+

(2) 动态分析 ① 电压放大倍数 ②输入电阻R i ③ 输出电阻R o 知识点二 共集电极放大电路 1、静态分析:求静态工作点 o c L b L u i R i R β''=-=-L c L //R R R '=i b be e e b be e [(1)]u i r i R i r R β=+=++o L u i be e (1)u R A u r R ββ'==-++b be e i i be e b b [(1)](1)i r R u R r R i i ββ++'===++i b1b2i i i b1b2i i i b1b2be e (////)////////[(1)]i R R R u R R R R i i R R r R β''====+ +

2、动态分析 (1) 电压放大倍数A u (2) 输入电阻R i (3) 输出电阻R o :将信号源短路,负载开路,在输出端加入测试电压u ,产生电流i ,如图 通常 知识点三 多级放大电路(有可能考) . 多级放大电路的电压放大倍数为各级放大电路电压放大倍数之积 题型:详见书P58例2-7 知识点四 差分放大电路 1.功能:差分放大电路抑制了温度引起的零点漂移 2.差模输入信号:在差分放大电路两输入端分别加上一对大小相等,极性相反的信号,u i1=u id1,u i2=u id2=-u id1 共模输入信号不要求 知识点四 功率放大电路(无大题) 1. 对功率放大电路的要求:输出功率大、非线性失真小、效率高 2. 分类: 静态工作点Q 设置在交流负载线的中间,在整个信号周期内,三极管都有电流流过,称为甲类功率放大电路。 把静态工作点Q 设置得低一点,管耗就小,效率就可提高。称为甲乙类功率放大电路 。 be s o e //1r R u R R i β'+==+s s b //R R R ' =be s e 1r R R β'+>>+be s o 1r R R β '+≈+

模电数电复习题(已整理)

第1章常用半导体器件 自测题 三、写出图Tl.3所示各电路的输出电压值,设二极管导通电压 U D=0.7V。 图T1.3 解:U O1=1.3V, U O2=0V, U O3=-1.3V, U O4=2V, U O5=1.3V, U O6=-2V。 四、已知稳压管的稳压值U Z=6V,稳定电流的最小值I Zmin=5mA。求图Tl.4所示电路中U O1和U O2各为多少伏。 (a) (b) 图T1.4 解:左图中稳压管工作在击穿状态,故U O1=6V。 右图中稳压管没有击穿,故U O2=5V。

五、电路如图T1.5所示,V CC=15V,=100,U BE=0.7V。 试问: (1)R b=50k时,U o=? (2)若T临界饱和,则R b=? 解:(1)26 BB BE B b V U I A R μ - ==, 2.6 C B I I mA β ==, 2 O CC C c U V I R V =-=。图T1.5 (2)∵ 2.86 CC BE CS c V U I mA R - ==,/28.6 BS CS I I A βμ == ∴45.5 BB BE b BS V U R k I - ==Ω 习题 1.2电路如图P1.2所示,已知10sin i u tω =(V),试画出i u与o u的波形。设二极管导通电压可忽略不计。 图P1.2 解图P1.2 解: i u与o u的波形如解图Pl.2所示。

1.3电路如图P1.3所示,已知t u i ωsin 5=(V ),二极管导通电压 U D =0.7V 。试画出i u 与o u 的波形图,并标出幅值。 图P1.3 解图 P1.3 解:波形如解图Pl.3所示。 第2章 基本放大电路 2.7电路如图P2.7所示,晶体管的β=80 ,' 100bb r =Ω。分别计算 L R =∞ 和3L R k =Ω时的 Q 点、u A 、i R 和o R 。 图P2.6 图P2.7

模电数电复习题(已整理)

第1章 常用半导体器件 自测题 三、写出图Tl.3 所示各电路的输出电压值,设二极管导通电压U D =0.7V 。 图T1.3 解:U O1=1.3V , U O2=0V , U O3=-1.3V , U O4=2V , U O5=1.3V , U O6=-2V 。 四、已知稳压管的稳压值U Z =6V ,稳定电流的最小值I Zmin =5mA 。求图Tl.4 所示电路中U O1和U O2各为多少伏。 (a) (b) 图T1.4 解:左图中稳压管工作在击穿状态,故U O1=6V 。 右图中稳压管没有击穿,故U O2=5V 。 五、电路如图T1.5所示,V CC =15V ,β=100,U BE =0.7V 。 试问: (1)R b =50k Ω时,U o=? (2)若T 临界饱和,则R b =? 解:(1)26BB BE B b V U I A R μ-= =, 2.6C B I I mA β==, 2O CC C c U V I R V =-=。 图T1.5

(2)∵ 2.86 CC BE CS c V U I mA R - ==,/28.6 BS CS I I A βμ == ∴45.5 BB BE b BS V U R k I - ==Ω 习题 1.2电路如图P1.2 所示,已知 10sin i u tω =(V),试画出 i u与 o u的波形。设二极管导通电压可忽略不计。 图P1.2 解图P1.2 解: i u与 o u的波形如解图Pl.2所示。 1.3电路如图P1.3所示,已知t u i ω sin 5 =(V),二极管导通电压U D=0.7V。试画出 i u 与 o u的波形图,并标出幅值。 图P1.3 解图P1.3 解:波形如解图Pl.3所示。

数电模电超有用知识点,值得拥有

《数字电子技术》重要知识点汇总 一、主要知识点总结和要求 1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421BCD 、格雷码之间进行相互转换。 举例1:(37.25)10= ( )2= ( )16= ( )8421BCD 解:(37.25)10= ( 100101.01 )2= ( 25.4 )16= ( 00110111.00100101 )8421BCD 2.逻辑门电路: (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)TTL 门电路典型高电平为3.6 V ,典型低电平为0.3 V 。 3)OC 门和OD 门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。 5)门电路参数:噪声容限V NH 或V NL 、扇出系数N o 、平均传输时间t pd 。 要求:掌握八种逻辑门电路的逻辑功能;掌握OC 门和OD 门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。 解:由逻辑图写出表达式为:C B A C B A Y ++=+=,则输出Y 见上。 3.基本逻辑运算的特点: 与 运 算:见零为零,全1为1;或 运 算:见1为1,全零为零; 与非运算:见零为1,全1为零;或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零;同或运算:相同为1,相异为零; 非 运 算:零 变 1, 1 变 零; 要求:熟练应用上述逻辑运算。 4. 数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。

模电知识点归纳2(完全版)

第一章常用半导体器件 1.什么是杂质半导体?有哪2种杂质半导体? 2.什么是N型杂质半导体?在N型半导体中,掺入高浓度的三价硼元素是否可以改型为P型半导体? 3.什么是P型杂质半导体?在P型半导体中,掺入高浓度的五价磷元素是否可以改型为N 型半导体? 4.什么是PN结?PN结具有什么样的导电性能? 5.二极管的结构?画出二极管的电路符号,二极管具有什么样的导电性能? 6.理想二极管的特点? 7.什么是稳压管?电路符号?正向导通,反向截止,反向击穿分别具有什么样的特点?稳定电压Uz指的是什么?稳定电流Iz和最大稳定电流分别指的什么? 8.二极管的主要应用电路有那些?掌握二极管的开关电路,限幅电路和整流电路的分析。(1)二极管的开关电路,D为理想二极管,求U AO (2)二极管的限幅电路

D为理想二极管时的输出波形D为恒压降模型时的输出波形(3)二极管的单相半波整流电路,求负载上输出电压的平均值(即所含的直流电压)

(4)二极管单相桥式全波整流电路,求负载上输出电压的平均值(即所含的直流电压) 如果图中四个二极管全 部反过来接,求负载上输 出电压的平均值? (5)二极管的单相全波整流电容滤波电路,定性画出负载上的输出电压的波形求负载上输出电压的平均值(即所含的直流电压)

(6)二极管的单相全波整流电容滤波电路,定性画出负载上的输出电压的波形求负载上输出电压的平均值(即所含的直流电压) 9.什么是晶体管?它的结构和电路符号?(见教材P29页),晶体管是一种电流控制器件,用来表示晶体管的电流控制能力的一个参数是什么?工作在电流放大状态下的电流控制方程是什么?

模电数电面试知识

电路与系统复试专题 模拟电路 1.有源滤波器和无源滤波器的区别 答:无源滤波器:这种电路主要有无源元件 R 、L 和C 组成 有源滤波器:集成运放和R C 组成。 具有不用电感、体积小、重量轻等优点。 集成运放的开环电压增益和输入阻抗均很高, 输出电阻小,构成有源滤波电路后 还具有一定的电压放大和缓冲作用。 但集成运放带宽有限,所以目前的有源滤波 电路的工作频率难以做得很高。 2■什么是负载什么是带负载能力 答:把电能转换成其他形式的能的装置叫做负载。 对于不同的负载,电路输出特 性(输出电压,输出电流)几乎不受影响,不会因为负载的剧烈变化而变,这就 是所谓的带载能 力 3. 什么是输入电阻和输出电阻 答:在独立源不作用(电压源短路,电流源开路)的情况下,由端口看入,电路 可用一个电阻元 件来等效。这个等效电阻称为该电路的输入电阻。 从放大电路输 出端看进去的等效内阻称为输出电阻 Roo 4. 什么叫差模信号什么叫共模信号 答:两个大小相等、极性相反的一对信号称为差模信号。差动放大电路输入差模 信号(uil =- ui2 )时,称为差模输入。两个大小相等、极性相同的一对信号称 为共模信号。差动放大电路输 入共模信号(uil =ui2 )时,称为共模输入。在差 动放大器中,有用信号以差模形式输入,干 扰信号用共模形式输入, 号将被抑制的很小。 5. 怎样理解阻抗匹配 答:阻抗匹配是指信号源或者传输线跟负载之间的一种合适的搭配方式。 配分为低频和高频两种情况讨论。 低频:当负载电阻跟信号源内阻相等时, 常说的阻抗匹配之一。对于纯电阻电路,此结论 同样适用于低频电路及高频电路。 当交流电 路中含有容性或感性阻抗时, 抗的的实部相等,虚部互为相反数,这叫做共扼匹配。 在高频电路中:如果传输线的特征阻抗跟负载阻抗不相等(即不匹配)时,在负 载端就会产生反 射。为了不产生反射,负载阻抗跟传输线的特征阻抗应该相等, 这就是传输线的阻抗匹配。 6. 解释电流偏置的产生电路。 答:偏置电路:以常用的共射放大电路说吧,主流是从发射极到集电极的 IC ,偏 流就是从发射极 到基极的IB 。相对与主电路而言,为基极提供电流的电路就是所 谓的偏置电路。偏置电路往往有若干元件,其中有一重要电阻,往往要调整阻值, 以使集电极电流在设计规范内。这要调整的电阻就是偏置电阻。 7. 偏置电阻: 答:在稳态时(无信号)通过电阻为电路提供或泄放一定的电压或电流,使电路 满足工作需求, 或改善性能。 8. 什么是电压放大什么是电流放大 什么是功率放大 答:电压放大就是只考虑输出电压和输入电压的关系。比如说有的信号电压低, 需要放大后才能 被模数转换电路识别,这时就只需做电压放大。 那么干扰信 阻抗匹 负载可获得最大输出功率, 这就是我们 结论有所改变,就是需要信号源与负载阻

数电模电第六章知识点

知识点一.数值码制转换 1. 各种数制转换成十进制 2. 十进制转换成各种数制 3. 二进制与八(十六)进制的转换 题型1.将下列十进制数转化为三位8421BCD 码 (125) 000100100101 题型 2.将十六进制数转化成十进制数 1.5B = 1 *16(0次方) + 5* 16(-1次方) + 11 *16(-2次方) 知识点二:逻辑函数的几个重要定律 1. 反演率(摩根定律) 2. 还原率 3. 吸收率 4. 冗余率 题型1: 题型2: 题型3: 题型4: 知识点三:逻辑代数的基本规则 1. 代入规则 2. 反演规则 3. 对偶规则:如果两个函数F 和G 相等,那么他们的对偶函数也相等。 题型1.求原函数的反函数 题型2.求原函数的对偶函数。 知识点四:逻辑函数的表示方法 1. 真值表 2. 逻辑表达式 3. 卡诺图 4. 逻辑图 题型1:由真值表写出逻辑表达式(将函数的真值表中那些使函数值为1的最小项相加,便得到函数的标准与或表达式) 知识点五:公式化简法 还原律:???=+?+=?+?A B A B A A B A B A )()(吸收率:?????+=?+?=+????=+?=?+B A B A A B A B A A A B A A A B A A )( )( 冗余律:C A AB BC C A AB +=++

1.与—或式的简化 <1>合并项法 <2>吸收法 <3>消去法 <4>添项法 2或—与式的化简 <1>直接公式化简法 <2>两次公式对偶简化法 题型1: _ _ 利用公式 AB+AB=A 可将两项合并为一项,并消去B 和 B 这一对互补因子。A 和B 可以是任何复杂的逻辑式。 题型2: 利用公式A+AB=A 吸收多余因子,A 和B 均可为任意复杂 的逻辑函数。 题型3: 利用公式 消去多余变量 利用公式 削去多余项 题型4: 利用公式 进行添项。利用所添的项与其他项进行合并达到简化目的。 知识点六:卡诺图简化法 1. 将逻辑函数变换为最小项标准型的方法 <1>利用真值表将逻辑函数变换为最小项标准型 _ <2>利用公式A+ A=1将函数变换为最小项标准型 2.卡诺图的简化规则 <1>将逻辑值为1的相邻最小项圈起来,为了使函数最简,圈要尽可能大,但 圈中所含1的个数必须为2的幂次方,如1个、2个、4个、8个等。 <2>一个圈代表一个与项,由圈中取值未发生变化的变量构成,如果变量取值为1则取原变量,取值为0则取反变量。 <3>为了使函数得到最佳简化,圈过的1格可重复被圈,即合并圈可以部分重叠。 <4>若一个合并圈中所含的“1”格均被其他合并圈圈过则这个合并圈是多余的,必须消除。 4. 具有无关最小项的逻辑函数的简化 题型1: [例] 试用卡诺图法将函数F =ABCD +CD +BCD +ACD 简化为最简与—或表达式。 A A B A B +=+AB A C BC AB A C ++=+A A A =+A AB AB =+AB A C AB A C BC +=++

模电数电复习资料

模电复习资料 一.(10分)设二极管采用恒压降模型且正向压降为0.7V,试判断下图中各二极管是否导通,并求出图(a)电路在v i=5sinωt V时的输出v o波形以及图(b)电路的输出电压V o1。 (a)(b) 二.(10分)放大电路如图所示。已知: R b1=62K,R b2=15K,R s=10K,R c=3K, R e=1K,R L=3K,C1=C2=10μ, C e=220μ,V CC=+15V,β=80, V BE=0.7V。 1.说明电路属于何种组态, 画出该电路的直流通路;(5分) 2.计算该电路的静态工作点。(5分) 3.画小信号等效电路,求电压放大倍数,输入电阻,输出电阻。 4.说明电路属于何种组态, 三.(18分)放大电路如图所示。已知C足够大,场效应管的参数g m=0.8ms,R2=6.8KΩ,三极管的参数β=50,r be=0.5K,R3=90KΩ,R4=10KΩ,R5=4KΩ,R6=1.5KΩ,R L=4KΩ。 1.画出其小信号模型等效电路。(4分) 2.计算电路的电压放大倍数A v、输入电阻R i和输出电阻R o。(10分) 3.若R s=10K时,计算源电压放大倍数A vs,说明R6对电路频率响应的影响。(4分)

四.(12分)反馈放大电路如图示。 1.判断各电路中级间交流反馈的极性(要求在图上标出反馈极性)。(4分) 2.对于级间交流反馈为负反馈的电路,进一步判断反馈的类型,同时按深度负反馈的条件估算电路的闭环电压增益(写出表达式)。并简单说明电路对输入电阻,输出电阻的影响,对信号源内阻有什么要求?(8分) (a)(b) 五.(10分)集成运算放大器构成的运算电路如图示,求电路的输出电压。 1.求出电路(a)的输出电压。(4分) 2.在电路(b)中,设t=0时v c=0,此时加入v i=1V,求t=40ms时v o=?(6分)

自考数电模电各章节历年真题考点汇总(完整版)

各章节考点 没有列出的章节几乎不考,希望同学们抓住重点,有的放矢,祝同学们考个好成绩! 第一章考点 1.对半导体三极管,测得发射结反偏,集电结反偏,此时该三极管处于( ) A.放大状态 B.截止状态 C.饱和状态 D.无法确定 2.在放大电路中测得一只三极管三个电极的电位分别为2.8V 、 3.5V 、6V ,则这只三极管属于( ) A.硅PNP 型 B.硅NPN 型 C.锗PNP 型 D.锗NPN 型 3. 在放大电路中,测得三极管三个电极电位分别为3.2V 、3V 、6V ,则该管属于【 】 A .锗NPN 型 B.锗PNP 型 C. 硅NPN 型 D. 硅PNP 型 4. 在放大电路中,测得三极管三个电极电位分别为12V ,11.3V ,0V ,则该管属于【 】 A. 硅NPN 型 B. 硅PNP 型 C. 锗NPN 型 D. 锗PNP 型 5. 在放大电路中测得一只三极管三个电极的电位分别为6V 、11.8V 、12V ,则这只三极管属于【 】 A. 硅NPN 型 B. 硅PNP 型 C. 锗NPN 型 D. 锗PNP 型 6. 测得NPN 型三极管三个电极的电位分别为U C =3.3V ,U B =3.7V ,U E =3V ,则该管工作在【 】 A. 放大区 B. 截止区 C. 饱和区 D. 击穿区 7.PNP 型晶体管工作在放大区时,三个电极直流电位关系为 【 】 A .U C

模电数电复习题(已整理)汇编

第1章 常用半导体器件自测题 三、写出图Tl.3 所示各电路的输出电压值,设二极管导通电压U D =0.7V 。 图T1.3 解:U O1=1.3V , U O2=0V , U O3=-1.3V , U O4=2V , U O5=1.3V , U O6=-2V 。 四、已知稳压管的稳压值U Z =6V ,稳定电流的最小值I Zmin =5mA 。求图Tl.4 所示电路中U O1和U O2各为多少伏。 (a) (b) 图T1.4 解:左图中稳压管工作在击穿状态,故U O1=6V 。 右图中稳压管没有击穿,故U O2=5V 。 五、电路如图T1.5所示,V CC =15V ,β=100,U BE =0.7V 。 试问: (1)R b =50k Ω时,U o=? (2)若T 临界饱和,则R b =? 解:(1)26BB BE B b V U I A R μ-= =, 2.6C B I I mA β==,

2O CC C c U V I R V =-=。 图T1.5 (2)∵ 2.86CC BE CS c V U I mA R -= =, /28.6BS CS I I A βμ== ∴45.5BB BE b BS V U R k I -= =Ω 习题 1.2电路如图P1.2 所示,已知10sin i u t ω=(V ),试画出i u 与o u 的波形。设二极管导通电压可忽略不计。 图P1.2 解图P1.2 解:i u 与o u 的波形如解图Pl.2所示。 1.3电路如图P1.3所示,已知t u i ωsin 5=(V ),二极管导通电压U D =0.7V 。试画出i u 与 o u 的波形图,并标出幅值。 图P1.3 解图P1.3 解:波形如解图Pl.3所示。

模电数电知识总结

1.模电和数电的主要内容,学习目的。 ①模电主要讲述对模拟信号进行产生、放大和处理的模拟集成电路;数电主要是通过数字逻辑和计算 去分析、处理信号,数字逻辑电路的构成及运用。由于数字电路稳定性高,结果再现性好;易于设 计等诸多优点,因此是今后的发展方向。但现实世界中信息都是模拟信息,模电是不可能淘汰的。 单就一个系统而言模电部分可能会减少,理想构成为:模拟输入—AD采样(数字化)--数字处理一DA转换一模拟输出。 ②电力专业学生学习模电数电,了解常见的模拟数字集成电路,掌握简单的电路设计,对于以后工 作中遇到的弱电控制强电等情况很有帮助。而且目前我国正在建设智能电网,模电数电的这些知 识为电网高速通信网络,智能表计等智能电网核心设备打下了基础。 模电 模拟信号和数字信号。 在时间上和幅值上均是连续的信号称为模拟信号,时间离散、数值也离散的信号称为数字信号。随着计算机的广泛应用,绝大多数电子系统都采用计算机来对信号进行处理,由于计算机无法直接处理模拟信号,所以需要将模拟信号转换成数字信号。 放大电路的类型和主要性能指标。 ①电压放大、电流放大、互阻放大和互导放大。电压放大电路主要考虑电压增益,电流放大电路主要考 虑电流增益,需要将电流信号转换为电压信号可利用互阻放大电路,把电压信号转换成与之相应的电流输出,这种电路为互导放大电路。这四种放大电路模型可实现相互转换。 ②输入电阻、输出电阻、增益、频率响应和非线性失真。输入电阻等于输入电压与输入电流的比值,它 的大小决定了放大电路从信号源吸取信号幅值的大小;输出电阻的大小决定了它带负载的能力,在信号源短路和负载开路情况下,在放大电路输出端加一个测试电压,相应产生一测试电流就能求得输出电阻;增益实际上反映了放大电路在输入信号控制下,将供电电源能量转换为信号能量的能力; 放大电路频率响应指在输入正弦信号情况下,输出随输入信号频率连续变化的稳态响应;由于元器件特性的非线性和放大电路工作电源受有限电压的限制而造成的失真为非线性失真。 三、集成运算放大器简介、组成和工作区域。 ①集成运算放大器是一种高增益直接耦合放大器,它作为基本的电子器件,可以实现多种功能电路, 如电子电路中的比例、求和、求差、积分和微分等模拟运算电路。 ②它由输入级差分放大、中间级电压放大、输出级功率放大和偏置电路四个部分组成。输入级由差分

(完整版)模电数电面试知识

电路与系统复试专题 模拟电路 1.有源滤波器和无源滤波器的区别 答:无源滤波器:这种电路主要有无源元件R、L和C组成 有源滤波器:集成运放和R、C组成。具有不用电感、体积小、重量轻等优点。集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。 2.什么是负载?什么是带负载能力? 答:把电能转换成其他形式的能的装置叫做负载。对于不同的负载,电路输出特性(输出电压,输出电流)几乎不受影响,不会因为负载的剧烈变化而变,这就是所谓的带载能力 3.什么是输入电阻和输出电阻? 答:在独立源不作用(电压源短路,电流源开路)的情况下,由端口看入,电路可用一个电阻元件来等效。这个等效电阻称为该电路的输入电阻。从放大电路输出端看进去的等效内阻称为输出电阻Ro。 4.什么叫差模信号?什么叫共模信号? 答:两个大小相等、极性相反的一对信号称为差模信号。差动放大电路输入差模信号(uil =-ui2)时,称为差模输入。两个大小相等、极性相同的一对信号称为共模信号。差动放大电路输入共模信号(uil =ui2)时,称为共模输入。在差动放大器中,有用信号以差模形式输入,干扰信号用共模形式输入,那么干扰信号将被抑制的很小。 5.怎样理解阻抗匹配? 答:阻抗匹配是指信号源或者传输线跟负载之间的一种合适的搭配方式。阻抗匹配分为低频和高频两种情况讨论。 低频:当负载电阻跟信号源内阻相等时,负载可获得最大输出功率,这就是我们常说的阻抗匹配之一。对于纯电阻电路,此结论同样适用于低频电路及高频电路。当交流电路中含有容性或感性阻抗时,结论有所改变,就是需要信号源与负载阻抗的的实部相等,虚部互为相反数,这叫做共扼匹配。 在高频电路中:如果传输线的特征阻抗跟负载阻抗不相等(即不匹配)时,在负载端就会产生反射。为了不产生反射,负载阻抗跟传输线的特征阻抗应该相等,这就是传输线的阻抗匹配。 6. 解释电流偏置的产生电路。 答:偏置电路:以常用的共射放大电路说吧,主流是从发射极到集电极的IC,偏流就是从发射极到基极的IB。相对与主电路而言,为基极提供电流的电路就是所谓的偏置电路。偏置电路往往有若干元件,其中有一重要电阻,往往要调整阻值,以使集电极电流在设计规范内。这要调整的电阻就是偏置电阻。 7.偏置电阻: 答:在稳态时(无信号)通过电阻为电路提供或泄放一定的电压或电流,使电路满足工作需求,或改善性能。 8. 什么是电压放大?什么是电流放大? 什么是功率放大? 答:电压放大就是只考虑输出电压和输入电压的关系。比如说有的信号电压低,需要放大后才能被模数转换电路识别,这时就只需做电压放大。

(完整版)模电数电知识总结

1.模电和数电的主要内容,学习目的。 参考要点: ①模电主要讲述对模拟信号进行产生、放大和处理的模拟集成电路;数电主要是通过 数字逻辑和计算去分析、处理信号,数字逻辑电路的构成及运用。由于数字电路稳 定性高,结果再现性好;易于设计等诸多优点,因此是今后的发展方向。但现实世 界中信息都是模拟信息,模电是不可能淘汰的。单就一个系统而言模电部分可能会 减少,理想构成为:模拟输入—AD采样(数字化)--数字处理—DA转换—模拟输出。 ②电力专业学生学习模电数电,了解常见的模拟数字集成电路,掌握简单的电路设计, 对于以后工作中遇到的弱电控制强电等情况很有帮助。而且目前我国正在建设智能 电网,模电数电的这些知识为电网高速通信网络,智能表计等智能电网核心设备打 下了基础。 模电 一、模拟信号和数字信号。 在时间上和幅值上均是连续的信号称为模拟信号,时间离散、数值也离散的信号称为数字信号。随着计算机的广泛应用,绝大多数电子系统都采用计算机来对信号进行处理,由于计算机无法直接处理模拟信号,所以需要将模拟信号转换成数字信号。 二、放大电路的类型和主要性能指标。 ①电压放大、电流放大、互阻放大和互导放大。电压放大电路主要考虑电压增益,电 流放大电路主要考虑电流增益,需要将电流信号转换为电压信号可利用互阻放大电 路,把电压信号转换成与之相应的电流输出,这种电路为互导放大电路。这四种放 大电路模型可实现相互转换。 ②输入电阻、输出电阻、增益、频率响应和非线性失真。输入电阻等于输入电压与输 入电流的比值,它的大小决定了放大电路从信号源吸取信号幅值的大小;输出电阻 的大小决定了它带负载的能力,在信号源短路和负载开路情况下,在放大电路输出 端加一个测试电压,相应产生一测试电流就能求得输出电阻;增益实际上反映了放 大电路在输入信号控制下,将供电电源能量转换为信号能量的能力;放大电路频率 响应指在输入正弦信号情况下,输出随输入信号频率连续变化的稳态响应;由于元 器件特性的非线性和放大电路工作电源受有限电压的限制而造成的失真为非线性 失真。

数电各章重点复习

数电课程各章重点 第一章 逻辑代数基础知识要点 一、二进制、十进制、十六进制数之间的转换;二进制数的原码、反码和补码 二、逻辑代数的三种基本运算以及5种复合运算的图形符号、表达式和真值表:与、或、非 三、逻辑代数的基本公式和常用公式、基本规则 逻辑代数的基本公式 逻辑代数常用公式: 吸收律:A AB A =+ 消去律:B A B A A +=+ A B A AB =+ 多余项定律:C A AB BC C A AB +=++ 反演定律:B A AB += B A B A ?=+ B A AB B A B A +=+ 基本规则:反演规则和对偶规则,例1-5 四、逻辑函数的三种表示方法及其互相转换 逻辑函数的三种表示方法为:真值表、函数式、逻辑图 会从这三种中任一种推出其它二种,详见例1-7 五、逻辑函数的最小项表示法:最小项的性质;例1-8 六、逻辑函数的化简:要求按步骤解答 1、 利用公式法对逻辑函数进行化简 2、 利用卡诺图对逻辑函数化简 3、 具有约束条件的逻辑函数化简 例1.1 利用公式法化简 BD C D A B A C B A ABCD F ++++=)( 解:BD C D A B A C B A ABCD F ++++=)( BD C D A B A B A ++++= )(C B A C C B A +=+ BD C D A B +++= )(B B A B A =+ C D A D B +++= )(D B BD B +=+

C D B ++= )(D D A D =+ 例1.2 利用卡诺图化简逻辑函数 ∑=)107653()(、、、、 m ABCD Y 约束条件为 ∑8)4210(、、、、 m 解:函数Y 的卡诺图如下: 00 01 11 1000011110AB CD 111 × 11××××D B A Y += 第二章 门电路知识要点 一、三极管开、关状态 1、饱和、截止条件:截止:T be V V <, 饱和:β CS BS B I I i => 2、反相器饱和、截止判断 二、基本门电路及其逻辑符号 与门、或非门、非门、与非门、OC 门、三态门、异或; 传输门、OC/OD 门及三态门的应用 三、门电路的外特性 1、输入端电阻特性:对TTL 门电路而言,输入端通过电阻接地或低电平时,由于输入电流流过该电阻,会在电阻上产生压降,当电阻大于开门电阻时,相当于逻辑高电平。习题2-7 以下内容了解 2、输入短路电流I IS 输入端接地时的输入电流叫做输入短路电流I IS 。 3、输入高电平漏电流I IH 输入端接高电平时输入电流 4、输出高电平负载电流I OH

数电模电超有用知识点-值得拥有演示教学

数电模电超有用知识点-值得拥有

《数字电子技术》重要知识点汇总 一、主要知识点总结和要求 1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421BCD 、格雷码之间进行相互转换。 举例1:(37.25)10= ( )2= ( )16= ( )8421BCD 解:(37.25)10= ( 100101.01 )2= ( 25.4 )16= ( 00110111.00100101 )8421BCD 2.逻辑门电路: (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)TTL 门电路典型高电平为3.6 V ,典型低电平为0.3 V 。 3)OC 门和OD 门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。 5)门电路参数:噪声容限V NH 或V NL 、扇出系数N o 、平均传输时间t pd 。 要求:掌握八种逻辑门电路的逻辑功能;掌握OC 门和OD 门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。 解:由逻辑图写出表达式为:C B A C B A Y ++=+=,则输出Y 见上。 3.基本逻辑运算的特点:

与运算:见零为零,全1为1;或运算:见1为1,全零为零; 与非运算:见零为1,全1为零;或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零;同或运算:相同为1,相异为零; 非运算:零变 1, 1 变零; 要求:熟练应用上述逻辑运算。 4. 数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。

大学基础的数电模电试题及答案

一. 填空题 1、继电保护装置必须满足选择性、(快速性)、灵敏性和(可靠性)四个基本要求。 2、安全工作规程是中规定:设备对地电压高于(250V )为高电压;在250V 以下为低电压;安全电压为36V以下;安全电流为(10mA )以下。 3、软件测试时需要三类信息:软件配置、(测试配置)、(测试工具)。 4、存储容量为4K×8位的RAM存储器,其地址线为(12 )条、数据线为(8 )条。 5、产品质量特性包括:性能、(寿命)、可信性、(安全性)和经济性。 6、PN结正偏时(导通),反偏时(截止),所以PN结具有(单向)导电性。 7、漂移电流是(温度)电流,它由(少数)载流子形成,其大小与(温度)有关,而与外加电压(无关)。 8、所谓理想二极管,就是当其正偏时,结电阻为(0),等效成一条直线;当其反偏时,结电阻为(无穷),等效成断开。 9、三极管是(电流)控制元件,场效应管是(电压)控制元件。 10、三极管具有放大作用外部电压条件是发射结(正偏),集电结(反偏)。 11、当温度升高时,晶体三极管集电极电流Ic(变小),发射结压降(不变)。 12、三极管放大电路共有三种组态分别是(共基)、(共射)、(共集)放大电路。 13、为了稳定三极管放大电路的静态工作点,采用(电压并联)负反馈,为了稳定交流输出电流采用(串联)负反馈。 14、负反馈放大电路和放大倍数AF=(1/(1/A+F)),对于深度负反馈放大电路的放大倍数AF=(1/ F)。 15、带有负反馈放大电路的频带宽度BWF=(1+AF)BW,其中BW=(fH –fL),(1+AF)称为反馈深度。 16、差分放大电路输入端加上大小相等、极性相同的两个信号,称为(共模)信号,而加上大小相等、极性相反的两个信号,称为(差模)信号。 17、为了消除乙类互补功率放大器输出波形的(交越)失真,而采用(甲乙)类互补功率放大器。 18、OCL电路是(双)电源互补功率放大电路;

相关文档
相关文档 最新文档