文档库 最新最全的文档下载
当前位置:文档库 › 二进制算术运算和逻辑运算

二进制算术运算和逻辑运算

二进制算术运算和逻辑运算
二进制算术运算和逻辑运算

二进制算术运算和逻辑

运算

集团档案编码:[YTTR-YTPT28-YTNTL98-UYTYNN08]

1、二进制的算术运算

二进制数的算术运算非常简单,它的基本运算是加法。在计算机中,引入补码表示后,加上一些控制逻辑,利用加法就可以实现二进制的减法、乘法和除法运算。

(1)二进制的加法运算

二进制数的加法运算法则只有四条:0+0=0 0+1=1 1+0=1 1+1=10(向高位进位)

例:计算1101+1011的和

由算式可知,两个二进制数相加时,每一位最多有三个数:本位被加数、加数和来自低位的进位数。按照加法运算法则可得到本位加法的和及向高位的进位。

(2)二进制数的减法运算

二进制数的减法运算法则也只有四条: 0-0=0 0-1=1(向高位借位) 1-0=11-1=0

由算式知,两个二进制数相减时,每一位最多有三个数:本位被减数、减数和向高位的借位数。按照减法运算法则可得到本位相减的差数和向高位的借位。

(3)二进制数的乘法运算

二进制数的乘法运算法则也只有四条: 0 * 0 = 0 0 * 1 = 0 1 * 0 = 01*1= 1

例:计算1110×1101的积

由算式可知,两个二进制数相乘,若相应位乘数为1,则部份积就是被乘数;若相应位乘数为0,则部份积就是全0。部份积的个数等于乘数的位数。以上这种用位移累加的方法计算两个二进制数的乘积,看起来比传统乘法繁琐,但它却为计算机所接受。累加器的功能是执行加法运算并保存其结果,它是运算器的重要组成部分。

(4)二进制数的除法运算二进制数的除法运算法则也只有四条:0÷0 = 00÷1 = 01÷0 = 0

(无意义)1÷1=1

例:计算100110÷110的商和余数。

由算式可知,(100110)2÷(110)2得商(110)2,余数(10)2。但在计算机中实现上述除法过程,无法依靠观察判断每一步是否“够减”,需进行修改,通常采用的有“恢复余数法”和“不恢复余数法”,这里就不作介绍了。

2、二进制数的逻辑运算

计算机所以具有很强的数据处理能力,是由于在计算机里装满了处理数据所用的电路。这些电路都是以各种各样的逻辑为基础而构成的简单电路经过巧妙组合而成的。

逻辑变量之间的运算称为逻辑运算,它是逻辑代数的研究内容。在逻辑代数里,表示"真"与"假"、"是"与"否"、"有"与"无"这种具有逻辑属性的变量称为逻辑变量,像普通代数一样,逻辑变量可以用A,B,C,……或X,Y,Z……来表示。对二进制数的1和0赋以逻辑含义,例如用1表示真,用0表示假,这样将二进制数与逻辑取值对应起来。由此可见,逻辑运算是以二进制数为基础的。值得指出的是,普通代数的变量可以有各种各样的取值,而逻辑变量的取值只有两种:真和假,也就是1和0。

逻辑运算包括三种基本运算:逻辑加法(又称"或"运算)、逻辑乘法(又称"与"运算)和逻辑否定(又称"非"运算)。此外,还有异或运算和符合运算,等等。计算机的逻辑运算是按位进行的,不像算术运算那样有进位或借位的联系。

(1)逻辑加法(或运算)

逻辑加法通常用符号"+"或"∨"来表示。对于逻辑变量A,B和C,它们的逻辑加运算关系为: A+B=C A∨B=C以上两式等价,都读作A或B等于C。若逻辑变量取不同的值,则逻辑加运算规则如下:

0+0=0 0+1=1 1+0=1 1+1=1 或0∨0=0 0∨1=1 1∨0=1 1∨1=1

由上面式子可见,只要逻辑变量A或B中有一个为1,或两个都为1,则逻辑加的结果就为1;只有A和B同时为0时,C才等于0。

(2)逻辑乘法(与运算)

逻辑乘法通常用符号"*"或"∧"或"·"来表示。对于逻辑变量A,B和C,它们的逻辑乘法运算关系为:A*B=C A∧B=C或A·B=C以上各式等价,都读作A与B等于C。若逻辑变量取不同的值,则逻辑乘法运算规则如下:

0*0=00∧0=00·0=0

0*1=00∧1=00·1=0

1*0=01∧0=01·0=0

1*1=11∧1=11·1=1

不难看出,逻辑乘法有"与"的意义,它表示仅当A和B同时为1时,其逻辑乘积C才等于1,其它情况C都等于0。

(3)逻辑否定(非运算)

逻辑非通常用在逻辑变量上方加一横线来表示,对于逻辑变量A和C,其逻辑否定运算规则为:A= C

由上式看出,逻辑变量A取值0时,其否定C等于1;反之,A取值1时,其否定C等于0。

非逻辑的运算规则为:0=1,读作非0等于1;1=0,读作非1等于0

二进制的运算法则

1.2 微型计算机运算基础 1.2.1 二进制数的运算方法 电子计算机具有强大的运算能力,它可以进行两种运算:算术运算和逻辑运算。1.二进制数的算术运算 二进制数的算术运算包括:加、减、乘、除四则运算,下面分别予以介绍。(1)二进制数的加法 根据“逢二进一”规则,二进制数加法的法则为: 0+0=0 0+1=1+0=1 1+1=0 (进位为1) 1+1+1=1 (进位为1) 例如:1110和1011相加过程如下: (2)二进制数的减法

根据“借一有二”的规则,二进制数减法的法则为: 0-0=0 1-1=0 1-0=1 0-1=1 (借位为1) 例如:1101减去1011的过程如下: (3)二进制数的乘法 二进制数乘法过程可仿照十进制数乘法进行。但由于二进制数只有0或1两种可能的乘数位,导致二进制乘法更为简单。二进制数乘法的法则为: 0×0=0 0×1=1×0=0 1×1=1 例如:1001和1010相乘的过程如下:

由低位到高位,用乘数的每一位去乘被乘数,若乘数的某一位为1,则该次部分积为被乘数;若乘数的某一位为0,则该次部分积为0。某次部分积的最低位必须和本位乘数对齐,所有部分积相加的结果则为相乘得到的乘积。 (4)二进制数的除法 二进制数除法与十进制数除法很类似。可先从被除数的最高位开始,将被除数(或中间余数)与除数相比较,若被除数(或中间余数)大于除数,则用被除数(或中间余数)减去除数,商为1,并得相减之后的中间余数,否则商为0。再将被除数的下一位移下补充到中间余数的末位,重复以上过程,就可得到所要求的各位商数和最终的余数。 例如:100110÷110的过程如下:

计算机组成原理运算器实验—算术逻辑运算实验

实验报告 、实验名称 运算器实验—算术逻辑运算实验 、实验目的 1、了解运算器的组成原理。 2、掌握运算器的工作原理。 3、掌握简单运算器的数据传送通路。 4、验证运算功能发生器( 74LS181)的组合功能 三、实验设备 TDN-CM++ 计算机组成原理教学实验系统一套,导线若干四、实验原理 实验中所用的运算器数据通路如图1-1 所示。其中两片74LSl81以串行方式构成8 位字长的ALU,ALU 的输出经过一个三态门(74LS245)和数据总线相连。三态门由ALU-R 控制,控制运算器运算的结果能否送往总线,低电平有效。为实现双操作数的运算,ALU 的两个数据输入端分别由二个锁存器DR1、DR2 (由74LS273实现)锁存数据。要将数据总线上的数据锁存到DRl、DR2 中,锁存器的控制端LDDR1 和DDR2必须为高电平,同时由T4 脉冲到来。 数据开关“( INPUT DEVICE")用来给出参与运算的数据,经过三态 (74LS245) 后送入数据总线,三态门由SW—B控制,低电平有效。数据显示灯“( BUS UNIT") 已和数据总线相连,用来显示数据总线上的内容。 图中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4 为脉冲信号外,其它均为电平信号。由于实验电路中的时序信号均已连至“W/R UNIT ”的相应时序信号引出端,因此,在进行实验时,只需将“W /R UNIT"的T4接至“ STATE UNIT ”的微动开关KK2 的输入端,按动微动开关,即可获得实验所需的单脉冲。 ALU 运算所需的电平控制信号S3、S2、S1、S0 、Cn、M、LDDRl、 LDDR2 、ALU-B 、SW-B均由“ SWITCH UNIT ”中的二进制数据开关来模拟,其中Cn、ALU —B、SW 一 B 为低电平有效LDDR1 、LDDR2 为高电平有效。 对单总线数据通路,需要分时共享总线,每一时刻只能由一组数据送往总线。

二进制运算法则

二进制运算法则 莱布尼兹也是第一个认识到二进制记数法重要性的人,并系统地提出了二进制数的运算法则。二进制 对200多年后计算机的发展产生了深远的影响。他于1716年发表了《论中国的哲学》一文,专门讨论 八卦与二进制,指出二进制与八卦有共同之处。 目录 德国著名的数学家和哲学家莱布尼兹,对帕斯卡的加法机很感兴趣。于是,莱布 尼兹也开始了对计算机的研究。 编辑本段 研究过程 1672年1月,莱布尼兹搞出了一个木制的机器模型,向英国皇家学会会员们做了 演示。但这个模型只能说明原理,不能正常运行。此后,为了加快研制计算机的进程,莱布尼兹在巴黎定居4年。在巴黎,他与一位著名钟表匠奥利韦合作。他只需对奥利 韦作一些简单的说明,实际的制造工作就全部由这位钟表匠独自去完成。1974年,最 后定型的那台机器,就是由奥利韦一人装配而成的。莱布尼兹的这台乘法机长约1米,宽30厘米,高25厘米。它由不动的计数器和可动的定位机构两部分组成。整个机器 由一套齿轮系统来传动,它的重要部件是阶梯形轴,便于实现简单的乘除运算。 莱布尼兹设计的样机,先后在巴黎,伦敦展出。由于他在计算设备上的出色成就,被选为英国皇家学会会员。1700年,他被选为巴黎科学院院士。 莱布尼兹在法国定居时,同在华的传教士白晋有密切联系。白晋曾为康熙皇帝讲 过数学课,他对中国的易经很感兴趣,曾在1701年寄给莱布尼兹两张易经图,其中一 张就是有名的“伏羲六十四卦方位圆图”。莱布尼兹惊奇地发现,这六十四卦正好与64 个二进制数相对应。莱布尼兹认为中国的八卦是世界上最早的二进制记数法。为此,

莱布尼兹非常向往和崇尚中国的古代文明,他把自己研制的乘法机的复制品赠送给中 国皇帝康熙,以表达他对中国的敬意。 编辑本段 法则 二进制的运算算术运算二进制的加法:0+0=0,0+1=1 ,1+0=1, 1+1=10(向高位 进位);即7=111 10=1010 3=11 二进制的减法:0-0=0,0-1=1(向高位借位) 1-0=1,1-1=0 (模二加运算或异或运 算) ; 二进制的乘法:0 * 0 = 00 * 1 = 0,1 * 0 = 0,1 * 1 = 1 二进制的除法:0÷0 = 0,0÷1 = 0,1÷0 = 0 (无意义),1÷1 = 1 ; 逻辑运算二进制的或运算:遇1得1 二进制的与运算:遇0得0 二进制的非运算:各位取反。 编辑本段 二进制与其他进制的转换 首先我们得了解一个概念,叫“权”。“权”就是进制的基底的n次幂。如二进制的 权就是(2)*n了,十进制的权就是(10)*n,看到十进制我们就很自然的想到科学 计算法中的(10)*n,对吧?有了权这个定义之后,我们就可以随便把一个进制的数 转化成另一个进制的数了。日常生活中,由于电脑的字节,汉字西文的字节的原因, 二进制最常见的转换是八进制,十六进制,三十二进制,当然还有十进制。 二进制转换成十进制的原则是:基数乘以权,然后相加,简化运算时可以把数位 数是0的项不写出来,(因为0乘以其他不为0的数都是0)。小数部分也一样,但精确度较少。 二进制与八进制的转换:采用“三位一并法”(是以小数点为中心向左右两边以每 三位分组,不足的补上0)这样就可以轻松的进行转换。 二进制与十六进制的转换:采用的是“四位一并法”,就如二进制与八进制的转换 一样。

《8位算术逻辑运算实验》

3.2 算术逻辑运算部件 1位全加器 n 位并行加法器 ALU 单元 乘、除 法器 运算器 3.2.1 加法单元 若:+1101,+1111 两数相加,且分别放入A 、B 两个寄存器。 0 0 1 1 0 1 (补码、双符号位表示) +) 0 0 1 1 1 1 0 1 1 1 0 0 第3位:输入量: Ai(1),Bi(1), C i-1(1) 本位进位 C i ∑i C i-1 A i B i 低位进位 本位操作数 输入、输出量之间的关系式: Σi =(A B i C i-1 (1) C i = A i B i A B i )C i-1 (2) 根据上式,得出一位全加器的逻辑电路图: 根据(1)式得: 如果三个输人中1的个数为奇数,则本位和为1,否则为0。 根据(2)式得: 当本位的两个输入A i 、B i 均为1时,不管低位有无进位C i-1传来,都必然产生进位C i ;若C i-1为1,只要A i 、B i 中有一个为1,也必然产生进位。 i-1 i-1 i i i i (a ) (b ) 进位链 选择逻 辑控制 移位器 寄存器组 选择器

3.3.1 2并行加法器与进位逻辑结构 一、串行加法器 1.定义:如果每步只求一位和,将n 位加分成n 步实现,这样的加法器称为串行 加法器。 2.组成: 1 个一位全加器 1个移位寄存器:从低到高串行提供操作数相加; 1个寄存器 1个触发器 3.特点:结构简单,速度极慢。 二、并行加法器 1.定义:如果用n 位全加器一步实现n 位相加,即n 位同步相加,这样的加法器称为并行加法器。 2.组成: n 位加法器 并行加法器 串行进位链 进位链 并行进位链 根据(指C i-1 )输入量提供时间的不同,将进位链分为带串行进位链的并行加法器,带并行进位链的并行加法器。 加法器的运算速度不仅与全加器的运算速度有关,更主要的因素是取决于进位传递速度。 3.进位信号的基本逻辑: C i = A i B i +(A i )C i-1 令Gi= A i B i 进位产生函数 Pi = A i ,进位传递函数 4.串行进位链 (1)定义:各级进位信号直接依赖于低一级的进位信号 (2)关系式: C 1 = G 1 + P 1C 0 C 2 = G 2 + P 2C 1 C 3 = G 3 + P 3C 2 。。。。。。。。。 C n = G n + P n C n-1 (3)结构图:

图像算术运算及逻辑运算

图像算术运算 代数运算函数: Imabsdiff 两幅图像的绝对差值 Imadd 两幅图像的加法 Imcomplement 补足一幅图像(反像-余数) Imdivide 两幅图像的除法 Imlincomb 两幅图像的线性组合 Immultiply 两幅图像的乘法 Imsubtract 两幅图像的减法 Z = IMLINCOMB(K1,A1,K2,A2, ..., Kn,An) 即:计算Z=K1*A1 + K2*A2 + ... +Kn*An 例子: %%f3.2(线性点运算) rice=imread('rice.png'); I=double(rice); J=I*0.43+60; rice2=uint8(J); subplot(1,2,1),imshow(rice); subplot(1,2,2),imshow(rice2); %%f3.7_8(加法运算:两图像相加) i=imread('rice.png'); j=imread('cameraman.tif'); k=imadd(i,j); subplot(1,3,1),imshow(i); subplot(1,3,2),imshow(j); subplot(1,3,3),imshow(k); %%imshow(k); %%f3.9(加法运算:增加亮度值) RGB=imread('cameraman.tif'); RGB2=imadd(RGB,50); RGB3=imadd(RGB,100); subplot(1,3,1),imshow(RGB); subplot(1,3,2),imshow(RGB2); subplot(1,3,3),imshow(RGB3);

16位算术逻辑运算实验

16 位算术逻辑运算实验 一、实验内容 1、实验原理 实验中所用16位运算器数据通路如图3-3所示。其中运算器由四片74LS181以并/串形成16位字长的ALU构成。低8位运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,低8位数据总线通过LZD0~LZD7显示灯显示;高8位运算器的输出经过一个三态门74LS245(U33`)到ALUO1`插座,实验时用8芯排线和高8位数据总线BUSD8~D15插座KBUS1或KBUS2相连,高8位数据总线通过LZD8~LZD15显示灯显示;参与运算的四个数据输入端分别由四个锁存器74LS273(U29、U30、U29`、U30、)锁存,实验时四个锁存器的输入并联后用8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据源来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,输入的数据通过LD0~LD7显示。

本实验用到6个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块),⑸高8位运算器模块,⑹高8位(扩展)数据总线显示模块。根据实验原理详细接线如下(接线⑴~⑸同实验一): ⑴ALUBUS连EXJ3; ⑵ALUO1连BUS1; ⑶SJ2连UJ2; ⑷跳线器J23上T4连SD; ⑸LDDR1、LDDR2、ALUB、SWB四个跳线器拨在左边(手动方式); ⑹AR跳线器拨在左边,同时开关AR拨在"1"电平; ⑺ALUBUS`连EXJ2;

《计算机组成原理》实验报告---8位算术逻辑运算实验

. '. 计算机专业类课程 实验报告 课程名称:计算机组成原理 学 院:信息与软件工程学院 专 业:软件工程 学生姓名: 学 号: 指导教师: 日 期: 2012 年 12 月 15 日

电子科技大学 实验报告 一、实验名称:8位算术逻辑运算实验 二、实验学时:2 三、实验内容、目的和实验原理: 实验目的: 1.掌握算术逻辑运算器单元ALU(74LS181)的工作原理。 2.掌握模型机运算器的数据传送通路组成原理。 3.验证74LS181的组合功能。 4.按给定数据,完成实验指导书中的算术/逻辑运算。 实验内容: 使用模型机运算器,置入两个数据DR1=35,DR2=48,改变运算器的功能设定,观察运算器的输出,记录到实验表格中,将实验结果对比分析,得出结论。 实验原理: 1.运算器由两片74LS181以并/串形式构成8位字长的ALU。

. '. 2.运算器的输出经过一个三态门(74LS245)和数据总线相连。 3.运算器的两个数据输入端分别由两个锁存器(74LS273)锁存。 4.锁存器的输入连至数据总线,数据开关(INPUT DEVICE)用来给 出参与运算的数据,并经过一三态门(74LS245)和数据总线相连。 5.数据显示灯(BUS UNIT)已和数据总线相连,用来显示数据总线内 容。 实验器材(设备、元器件):模型机运算器 四、实验步骤: 1. 仔细查看试验箱,按以下步骤连线 1)ALUBUS连EXJ3 2) ALU01连BUS1 3) SJ2连UJ2 4) 跳线器J23上T4连SD 5) LDDR1,LDDR2,ALUB,SWB四个跳线器拨在左边 6) AR跳线器拨在左边,同时开关AR拨在“1”电平 2. 核对线路,核对正确后接通电源 3. 用二进制数据开关KD0-KD7向DR1和DR2寄存器置入8位运算数据。

二进制数的算术运算

《数字电路与逻辑设计》 教 案 试讲教师:孙发贵 工作单位:北京化工大学北方学院

教学内容与过程 (一)讲解新课 在数字电路中,0和1既可以表示逻辑状态,又可表示数量的大小。当表示数量时,可以进行算术运算。 与十进制数的算术运算相比 1:运算的规则类似; 2:进位和借位规则不同(逢二进一,借一当二) 特点:加、减、乘、除全部可以用相加和移位这两种操作实现。——简化了电路结构所以数字电路中普遍采用二进制算数运算。 一、无符号二进制数的算术运算: 1、二进制数加法: 运算规则:0+0=0,0+1=1,1+1=10(向高位进一)—逢二进一 例:计算二进制数1010和0101的和。 2、二进制数减法: 运算规则:0-0=0,1-1=0,1-0=1, 0-1=11(向高位借一)—借一当二 例:计算二进制数1010和0101的差。 注意:在无符号减法运算中无法表示负数,所以,被减数必须大于减数。 3、二进制数乘法: 由左移被乘数与加法运算构成。 例:计算二进制数1010和0101的积。

4、二进制数除法: 由右移被除数与减法运算构成。 例:计算二进制数1010和111之商。 二、带符号二进制数的减法运算: 二进制数的正、负号也是用0/1表示的。 最高位为符号位(0为正,1为负) 例如: +89 = (0 1011001) -89 = (1 1011001) 在数字电路中,为简化电路常将减法运算变为加法运算。故引入原码、反码、补码的概念。 1、原码、反码、补码: 1) 原码:自然二进制码01101=(13)D 2) 反码:原码取反10010=(18)D N反=(2n–1)–N原,其中n为二进制数的位数 3) 补码:N补=2n-N原=N反+1 01101=(13)D 10010=(13)反 (13)补:(25-13) D=(19)D=10010+1=10011=(19)D 2、二进制数的补码表示: 补码或反码的最高位为符号位,正数为0,负数为1。 当二进制数为正数时,其补码、反码与原码相同。 当二进制数为负数时,将原码的数值位逐位求反,然后在最低位加1得到补码。 X1 = 85 = +1010101 [X1]原= [X1]反=[X1]补=01010101 X2 = -85 = -1010101 [X2]原= 11010101

计算机组成原理实验报告 算术逻辑运算单元实验

西华大学数学与计算机学院实验报告 课程名称:计算机组成原理年级:2011级实验成绩: 指导教师:祝昌宇姓名:蒋俊 实验名称:算术逻辑运算单元实验学号:312011*********实验日期:2013-12-15 一、目的 1. 掌握简单运算器的数据传输方式 2. 掌握74LS181的功能和应用 二、实验原理 (1)ALU单元实验构成 1、结构试验箱上的算术逻辑运算单元上的运算器是由运算器由2片74LS181构成8字长的ALU 单元。 2、2片74LS373作为2个数据锁存器(DR1、DR2),8芯插座ALU-OUT作为数据输入端,可通过短8芯扁平电缆,把数据输入端连接到数据总线上。 3、运算器的数据输出由一片74LS244(输出缓冲器)来控制,8芯插座ALU-OUT作为数据输出端,可通过短8芯扁平电缆把数据输出端连接到数据总线上。 (2)ALU单元的工作原理 数据输入锁存器DR1的EDR1为低电平,并且D1CK有上升沿时,把来自数据总线上的数据打入锁存器DR1。同样,使EDR2为低电平,并且D2CK有上升沿时,把来自数据总线上的数据打入锁存器DR2。 算术逻辑运算单元的核心是由2片74LS181构成,它可以进行2个8位二进制数的算术逻辑运算,74LS181的各种工作方式可通过设置其控制信号来实现(S0、S1、S2、S3、M、CN)。当实验者正确设置了74LS181的各个控制信号,74LS181会运算数据锁存器DR1、DR2内的数据。由于DR1、DR2已经把数据锁存,只要74LS181的控制信号不变,那么74LS181的输出数据也不会发生改变。 输出缓冲器采用74LS244,当控制信号ALU-O为低电平时,74LS244导通,把74LS181的运算结果输出到数据总线;ALU-O为高电平时,74LS244的输出为高阻。

计算机组成原理--实验二算术逻辑运算实验

实验二算术逻辑运算实验 一、实验目的 (1)了解运算器芯片(74LS181)的逻辑功能。 (2)掌握运算器数据的载入、读取方法,掌握运算器工作模式的设置。 (3)观察在不同工作模式下数据运算的规则。 二、实验原理 1.运算器芯片(74LS181)的逻辑功能 74LS181是一种数据宽度为4个二进制位的多功能运算器芯片,封装在壳中,封装形式如图2-3所示。 5V A1 B1 A2 B2 A3 B3 Cn4 F3 BO A0 S3 S2 S1 S0 Cn M F0 F1 F2 GND 图 2-3 74LS181封装图 主要引脚有: (1)A0—A3:第一组操作数据输入端。 (2)B0—B3:第二组操作数据输入端。 (3)F0—F3:操作结果数据输入端。 (4)F0—F3:操作功能控制端。 (5):低端进位接收端。

(6):高端进位输出端。 (7)M:算数/逻辑功能控制端。 芯片的逻辑功能见表2-1.从表中可以看到当控制端S0—S3为1001、M为0、 为1时,操作结果数据输出端F0—F3上的数据等于第一组操作数据输入端A0—A3上的数据加第二组操作数据输入端B0—B3上的数据。当S0—S3、M、 上控制信号电平不同时,74LS181芯片完成不同功能的逻辑运算操作或算数运算操作。在加法运算操作时,、进位信号低电平有效;减法运算操作时,、 借位信号高电平有效;而逻辑运算操作时,、进位信号无意义。 2.运算器实验逻辑电路 试验台运算器实验逻辑电路中,两片74LS181芯片构成一个长度为8位的运算器,两片74LS181分别作为第一操作数据寄存器和第二操作数据寄存器,一片74LS254作为操作结果数据输出缓冲器,逻辑结构如图2-4所示。途中算术运算操作时的进位Cy判别进位指示电路;判零Zi和零标志电路指示电路,将在实验三中使用。 第一操作数据由B-DA1(BUS TO DATA1)负脉冲控制信号送入名为DA1的第一操作数据寄存器,第二操作数据由B-DA2(BUS TO DATA2)负脉冲控制信号送入名为DA2的第二操作数据寄存器。74LS181的运算结果数据由(ALU TO BUS)低电平控制信号送总线。S0—S3、M芯片模式控制信号同时与两片74LS181的S0—S3、M端相连,保证二者以同一工作模式工作。实验电路的低端进位接收端Ci与低4位74LS181的相连,用于接收外部进位信号。低4为74LS181的与高4位74LS181的上相连,实现高、低4位之间进位信号的传递。高4位之间进位信号的传递。高4位74LS181的送进位Cy判别和进位指示电路。 表2-1 74LS181 芯片逻辑功能表

算术逻辑运算实验

实验报告 实验项目:算术逻辑运算实验(试验一) 课程名称:计算机组成原理 姓名:学号同组姓名:学号:实验位置(机号): 实验日期 实验1.掌握简单运算器的数据传送通路 目的2.验证运算器功能发生器(74LS181)的组合功能 3.验证带进为控制的算术运算器功能发生器(74LS181)的功能 4.按指定的数据完成几种制定的算术运算 5.验证移位控制的组合功能 实验YY-Z02计算机组成原理教学实验系统一台,排线若干。设备 实验 内容1.实验原理 (算 运算器实验原理图 法、 程 T4______ ALU-B 序、

_____ _____ 进位CyCn 步骤 299-B 判零_____ M 74LS2 电路CyNCn 和方 S0 99 ALU S1 法) (74LS181) S2 S3 _____I/O-RINPUT Ai =“0”B-DA1DA1 (74LS273)DA2

(74LS273)B-DA2 实验中所用的运算器数据通路图如图1-1所示,算术逻辑实验接线图如图1-2所示。图中所示的是由两片段74LS181芯片以并/串形式构成的8位字长的运算器。右方为低压4位运算芯片,左方为高4位运算芯片。低位芯片的进位输出端C(n+4)与高芯片的进位输入端CN相连,高位芯片的进位输出引至外部。两个芯片的控制端S0~S3和M各自相连。 2.实验步骤 1)算数逻辑运算 i.输入单元置数一 ii.设置I/O-R# = 0 iii.ALU-B# =1 iv.B-DA1 _| ̄|_将输入单元的输入数据存入DA1中 v.输入单元置数据二 vi.B-DA2 _| ̄|_将数据存入DA2中 vii.设置S 3 -------S 0、M、Cn根据要求按照定义操作设置完成运算viii. ix. x.I/O-R# = 1 ALU-B = 0运算结果送总线 IO-W ̄|_| ̄总线数据送显示单元显示 数据1.算数逻辑运算

二进制数的四则运算专题训练培训讲学

二进制数的四则运算专题训练 知识梳理: 二进制数的四则运算法则: 加法法则:0+0=0;0+1=1;1+0=1;1+1=10; 减法法则:0×0=0;0×1=0;1×0=0;1×1=1; 例题精讲: 1、加法运算: 1+1=10,本位记0,向高位进1. 2、减法运算: 被减数不够减,向高位借1。1当2,2-1=1。 3、乘法运算: 4、除法运算:

计算后要养成验算的习惯,二进制数四则运算的验算方法与十进制数相同: 加法验算时,用和减去其中的一个加数,它们的差应该等于另一个加数。 减法验算时,用差与减数相加,它们的和应该等于被减数。 乘法验算时,用积除以其中的一个因数,它们的商应该等于另一个因数。 除法验算时,用商乘以除数,乘积应该等于被除数;也可以用被除数除以商,看这时的商是否等于除数。 专题特训: 1、计算下面二进制数的加减法。 ①110+101②11010+10111 ③1001001+101110④10011-1111 ⑤11000-10001⑥1001001-10110 2、计算下面二进制数的乘除法。 ①110×101②1111×111 ③1110×1011④101101÷1001 ⑤100000÷100⑥1000110÷1010 3、计算下面二进制数的四则混合运算。 ①(11011)2+(10110)2×(110)2÷(1011)2 ②(10111)2×(1110)2+(110110)2÷(1001)2 4、计算下面二进制加法,你能发现什么? (11)2+(11)2= (101)2+(101)2= (1110)2+(1110)2= (1111)2+(1111)2= 5、计算下列二进制乘法,你发现了什么? (10)2×(101)2= (101)2×(1001)2= (1101)2×(10001)2= (11010)2×(100001)2=

二进制与十进制数间的转换二进制数的四则运算

一、二进制数与十进制数间的转换方法 1、正整数的十进制转换二进制: 要点:除二取余,倒序排列 解释:将一个十进制数除以二,得到的商再除以二,依此类推直到商等于一或零时为止,倒 取将除得的余数,即换算为二进制数的结果 例如把52换算成二进制数,计算结果如图: 52除以2得到的余数依次为:0、0、1、0、1、1,倒序排列,所以52对应的二进制数就是 110100。 由于计算机内部表示数的字节单位都是定长的,以2的幂次展开,或者8位,或者16位, 或者32位....。 于是,一个二进制数用计算机表示时,位数不足2的幂次时,高位上要补足若干个0。本文 都以8位为例。那么: (52)10=(00110100)2 2、负整数转换为二进制 要点:取反加一 解释:将该负整数对应的正整数先转换成二进制,然后对其“取补”,再对取补后的结果加1 即可

例如要把-52换算成二进制: 1.先取得52的二进制:00110100 2.对所得到的二进制数取反:11001011 3.将取反后的数值加一即可:11001100 即:(-52)10=(11001100)2 3、小数转换为二进制 要点:乘二取整,正序排列 解释:对被转换的小数乘以2,取其整数部分(0或1)作为二进制小数部分,取其小数部分,再乘以2,又取其整数部分作为二进制小数部分,然后取小数部分,再乘以2,直到小数部分为0或者已经去到了足够位数。每次取的整数部分,按先后次序排列,就构成了二进制小 数的序列 例如把0.2转换为二进制,转换过程如图: 0.2乘以2,取整后小数部分再乘以2,运算4次后得到的整数部分依次为0、0、1、1,结 果又变成了0.2, 若果0.2再乘以2后会循环刚开始的4次运算,所以0.2转换二进制后将是0011的循环,即: (0.2)10=(0.0011 0011 0011 .....)2 循环的书写方法为在循环序列的第一位和最后一位分别加一个点标注

实验二带进位控制8位算术逻辑运算实验

实验二带进位控制8位算术逻辑运算实验 一、实验目的 1、验证带进位控制的算术逻辑运算发生器的功能。 2、按指定数据完成几种指定的算术运算。 二、实验内容 1、实验原理 带进位控制运算器的实验原理如图所示,在实验(1)的基础上增加进位控制部分,其中高位74LS181(U31)的进位CN4通过门UN4E、UN2C、UN3B 进入UN5B的输入端D,其写入脉冲由T4和AR信号控制,T4是脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。AR是电平控制信号(低电平有效),可用于实现带进位控制实验。从图中可以看出,AR必须为“0’’电平,D型触发器74LS74(UN5B)的时钟端CLK才有脉冲信号输入。才可以将本次运算的进位结果CY锁存到进位锁存器74LS74(UN5B)中。 2、实验接线 实验连线(1)~(5)同实验~,洋细如下: (1)ALUBUS~连ExJ3; (2)ALUO1连BUSl; (3)SJ2连UJ2; (4)跳线器J23上T4连SD; (5)LDDRl、LDDR2、ALUB、SWB四个跳线器拨在左边(手动方式);(6)AR、299B跳线器拨在左边,同时开关AR拨在“0’’电平,开关299B拨在“1”电平; (7)J25跳线器拨在右边。 3、实验步骤 (1)仔细查线无误后,接通电源。 (2)用二进制数码开关KDO~KD7向DRl和DR2 寄存器置数,方法:关闭ALU输出三态门ALUB=1,开启输入三态门SWB=0,输入脉冲T4按手动脉冲

发生按钮产生。如果选择参与操作的两个数据分别为55H 、AAH ,将这两个数存入DR1和DR2的具体操作步骤如下: (3)开关ALUB=0,开启输出三态门,开关SWB=1,关闭输入三态门,同时让 LDDR1=0,LDDR2=0。 (4)如果原来有进位,CY=1,进位灯亮,但需要清零进位标志时,具体操作方 法如下: ◆ S3、S2、S1、S0、M 的状态置为0 0 0 0 0,AR 信号置为“0”电平 (清零操作时DRl 寄存器中的数应不等于FF )。 ◆ 按动手动脉冲发生开关,CY=0,即清进位标志。 注:进位标志指示灯CY 亮时表示进位标志为“1”,有进位;进位标志指示灯CY 灭时,表示进位位为“0”,无进位。 (5)验证带进位运算及进位锁存功能 这里有两种情况: ● 进位标志已清零,即CY=0,进位灯灭。 ? 使开关CN=0,再来进行带进位算术运算。例如步骤(2)参与运算的两 个数为55H 和AAH ,当S3、S2、S1、S0状态为10010,此时输出数据总线显示灯上显示的数据为DRl 加DR2再加初始进位位“1” (因CN=0),相加的结果应为ALU=00,并且产生进位,此时按动手动脉冲开关,则进位标志灯亮,表示有进位。 ? 使开关CN=1,当S3、S2、S1、S0状态为10010,则相加的结累ALU=FF , 并且不产生进位。

二进制算术运算和逻辑运算

1、二进制的算术运算 二进制数的算术运算非常简单,它的基本运算是加法。在计算机中,引入补码表示后,加上一些控制逻辑,利用加法就可以实现二进制的减法、乘法和除法运算。 (1)二进制的加法运算 二进制数的加法运算法则只有四条:0+0=0 0+1=1 1+0=1 1+1=10(向高位进位) 例:计算1101+1011的和 由算式可知,两个二进制数相加时,每一位最多有三个数:本位被加数、加数和来自低位的进位数。按照加法运算法则可得到本位加法的和及向高位的进位。 (2)二进制数的减法运算 二进制数的减法运算法则也只有四条: 0-0=0 0-1=1(向高位借位) 1-0=1 1-1=0 例:计算11000011 00101101的差 由算式知,两个二进制数相减时,每一位最多有三个数:本位被减数、减数和向高位的借位数。按照减法运算法则可得到本位相减的差数和向高位的借位。 (3)二进制数的乘法运算 二进制数的乘法运算法则也只有四条: 0 * 0 = 0 0 * 1 = 0 1 * 0 = 0 1 * 1 = 1 例:计算1110×1101的积 由算式可知,两个二进制数相乘,若相应位乘数为1,则部份积就是被乘数;若相应位乘数为0,则部份积就是全0。部份积的个数等于乘数的位数。以上这种用位移累加的方法计算两个二进制数的乘积,看起来比传统乘法繁琐,但它却为计算机所接受。累加器的功能是执行加法运算并保存其结果,它是运算器的重要组成部分。 (4)二进制数的除法运算 二进制数的除法运算法则也只有四条:0÷0 = 00÷1 = 01÷0 = 0 (无意义) 1÷1 = 1 例:计算100110÷110的商和余数。 由算式可知,(100110)2÷(110)2得商(110)2,余数(10)2。但在计算机中实现上述除法过程,无法依靠观察判断每一步是否“够减”,需进行修改,通常采用的有“恢复余数法”和“不恢复余数法”,这里就不作介绍了。 2、二进制数的逻辑运算 计算机所以具有很强的数据处理能力,是由于在计算机里装满了处理数据所用的电路。这些电路都是以各种各样的逻辑为基础而构成的简单电路经过巧妙组合而成的。 逻辑变量之间的运算称为逻辑运算,它是逻辑代数的研究内容。在逻辑代数里,表示"真"与"假"、"是"与"否"、"有"与"无"这种具有逻辑属性的变量称为逻辑变量,像普通代数一样,逻辑变量可以用A,B,C,……或X,Y,Z……来表示。对二进制数的1和0赋以逻辑含义,例如用1表示真,用0表示假,这样将二进制数与逻辑取值对应起来。由此可见,逻辑运算是以二进制数为基

二进制与十进制的计算公式

10进制数转换为2进制数 给你一个十进制,比如:6,如果将它转换成二进制数呢? 10进制数转换成二进制数,这是一个连续除2的过程: 把要转换的数,除以2,得到商和余数, 将商继续除以2,直到商为0。最后将所有余数倒序排列,得到数就是转换结果。 听起来有些糊涂?我们结合例子来说明。比如要转换6为二进制数。 “把要转换的数,除以2,得到商和余数”。 那么: 要转换的数是6, 6 ÷ 2,得到商是3,余数是0。(不要告诉我你不会计算6÷3!) “将商继续除以2,直到商为0……” 现在商是3,还不是0,所以继续除以2。 那就: 3 ÷ 2, 得到商是1,余数是1。 “将商继续除以2,直到商为0……” 现在商是1,还不是0,所以继续除以2。 那就: 1 ÷ 2, 得到商是0,余数是1(拿笔纸算一下,1÷2是不是商0余1!) “将商继续除以2,直到商为0……最后将所有余数倒序排列” 好极!现在商已经是0。 我们三次计算依次得到余数分别是:0、1、1,将所有余数倒序排列,那就是:110了!6转换成二进制,结果是110。 把上面的一段改成用表格来表示,则为: 被除数计算过程商余数 66/230 33/211 11/201

(在计算机中,÷用 / 来表示) 如果是在考试时,我们要画这样表还是有点费时间,所更常见的换算过程是使用下图的连除: (图:1) 请大家对照图,表,及文字说明,并且自已拿笔计算一遍如何将6转换为二进制数。 说了半天,我们的转换结果对吗?二进制数110是6吗?你已经学会如何将二进制数转换成10进制数了,所以请算一下110换成10进制是否就是6。 二进制数转换为十进制数 二进制数第0位的权值是2的0次方,第1位的权值是2的1次方…… 所以,设有一个二进制数:0110 0100,转换为10进制为: 下面是竖式: 0110 0100 换算成十进制 第0位 0 * 20 = 0 第1位 0 * 21 = 0 第2位 1 * 22 = 4 第3位 0 * 23 = 0

二进制数的四则运算专题训练讲课稿

二进制数的四则运算 专题训练

二进制数的四则运算专题训练 知识梳理: 二进制数的四则运算法则: 加法法则: 0+0=0;0+1=1;1+0=1;1+1=10; 减法法则: 0×0=0; 0×1=0; 1×0=0; 1×1=1; 例题精讲: 1、加法运算: 1+1=10,本位记0,向高位进1. 2、减法运算: 被减数不够减,向高位借1。1当2,2-1=1。 3、乘法运算: 4、除法运算:

计算后要养成验算的习惯,二进制数四则运算的验算方法与十进制数相同: 加法验算时,用和减去其中的一个加数,它们的差应该等于另一个加数。 减法验算时,用差与减数相加,它们的和应该等于被减数。 乘法验算时,用积除以其中的一个因数,它们的商应该等于另一个因数。 除法验算时,用商乘以除数,乘积应该等于被除数;也可以用被除数除以商,看这时的商是否等于除数。 专题特训: 1、计算下面二进制数的加减法。 ①110+101②11010+10111 ③1001001+101110④10011-1111 ⑤11000-10001⑥1001001-10110 2、计算下面二进制数的乘除法。 ①110×101②1111×111 ③1110×1011④101101÷1001 ⑤100000÷100⑥1000110÷1010 3、计算下面二进制数的四则混合运算。 ①(11011)2+(10110)2×(110)2÷(1011)2 ②(10111)2×(1110)2+(110110)2÷(1001)2 4、计算下面二进制加法,你能发现什么? (11)2+(11)2= (101)2+(101)2= (1110)2+(1110)2= (1111)2+(1111)2= 5、计算下列二进制乘法,你发现了什么? (10)2×(101)2= (101)2×(1001)2= (1101)2×(10001)2= (11010)2×(100001)2=

实验一算术逻辑运算实验

信息工程学院实验报告 课程名称:计算机组成基础Array 实验项目名称:算术逻辑运算实验实验时间: 班级:141 姓名:王炳地学号:201411401121 一、实验目的 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 二、实验设备 PC机一台,TD-CMA实验系统一套。 三、实验原理 本实验的原理如图1-1-1所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片CPLD中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2所示。图中显示的是一个4X4的矩阵(系统中是一个8X8的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连,而没有同任何输入相连的则输出连接0。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4位矩阵中使用‘右1’和‘左3’对角线来实现右循环1位。 (3) 对于未连接的输出位,移位时使用符号扩展或是0填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

二进制数的逻辑运算(绝密)

二进制数的逻辑运算 在计算机中,除了能表示正负、大小的“数量数”以及相应的加、减、乘、除等基本算术运算外,还能表示事物逻辑判断,即“真”、“假”、“是”、“非”等“逻辑数”的运算。能表示这种数的变量称为逻辑变量。在逻辑运算中,都是用“1”或“0”来表示“真”或“假”,由此可见,逻辑运算是以二进制数为基础的。 计算机的逻辑运算区别于算术运算的主要特点是:逻辑运算是按位进行的,位与位之间不像加减运算那么有进位或借位的关系。 逻辑运算主要包括的运算有:逻辑加法(又称“或”运算)、逻辑乘法(又称“与”运算)和逻辑“非”运算。此外,还有“异或”运算。 (1)逻辑与运算(乘法运算) 逻辑与运算常用符号“×”、“∧”或“&”来表示。如果A、B、C为逻辑变量,则A和B的逻辑与可表示成A×B=C、A∧B=C或A&B=C,读作“A与B等于C”。一位二进制数的逻辑与运算规则如表1-2所示。 表1-2 与运算规则 [table=548][tr][td=1,1,187]A [/td][td=1,1,177]B [/td][td=1,1,184]A∧B(C) [/td][/tr][tr][td=1,1,187]0 [/td][td=1,1,177]0 [/td][td=1,1,184]0 [/td][/tr][tr][td=1,1,187]0 [/td][td=1,1,177]1 [/td][td=1,1,184]0 [/td][/tr][tr][td=1,1,187]1 [/td][td=1,1,177]0 [/td][td=1,1,184]0 [/td][/tr][tr][td=1,1,187]1 [/td][td=1,1,177]1 [/td][td=1,1,184]1 [/td][/tr][/table] 由表1-2可知,逻辑与运算表示只有当参与运算的逻辑变量都取值为1时,其逻辑乘积才等于1,即一假必假,两真才真。 这种逻辑与运算在实际生活中有许多应用,例如,计算机的电源要想接通,必须把实验室的电源总闸、USP 电源开关以及计算机机箱的电源开关都接通才行。这些开关是串在一起的,它们按照“与”逻辑接通。为了书写方便,逻辑与运算的符号可以略去不写(在不致混淆的情况下),即A×B=A∧B=AB。 例:设A=1110011,B=1010101,求A∧B。 解: 1 1 1 0 0 1 1 ∧ 1 0 1 0 1 0 1 1 0 1 0 0 0 1 结果为:A∧B=1010001。 (2)逻辑或运算(加法运算) 逻辑或运算通常用符号“+”或“ ”来表示。如果A、B、C为逻辑变量,则A和B的逻辑或可表示成A+B=C 或A B=C,读作“A或B等于C”。其运算规则如表1-3 所示。

计算机组成原理算术逻辑运算实验报告1

福建农林大学计算机与信息学院信息工程类 实验报告 课程名称:《计算机组成原理》 名:姓 系:计算机系 计算机科学与技术业:专 年2007级:级 学号: 指导教师: 讲师职称: 日25 月5 年2009. 附件二:实验报告实验项目列表格式 实验项目列表

福建农林大学计算机与信息学院信息工程类实验报告级 系:计算机科学与技术 2007计算机系专业:年级: 姓名:学号:实验课程:计算机组成原理 实验室号:___田实验设备号: 1 实验时间: 2009年5月 4日指导教师签字:成绩: 算术逻辑运算实验实验一1.实验目的和要求 (1)掌握简单运算器的组成以及数据传送通路; (2)验证运算功能发生器(74LS181)的组合功能。 2.实验原理 图1-l 运算器数据通路图 实验中所用的运算器数据通路如图1-1所示。其中运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的两个数据输入端分别由两个锁存器(74LS273)锁存,锁存器的输入连至数据总线,数据输入开关用来给出参与运算的数据,并经过一三态门. (74LS245)和数据总线相连。运算器的输出经过一个三态门(74LS245)和数据总线相连。数据显示灯已和数据总线相连,用来显示数据总线内容。 图1-2中已将实验需要连接的控制信号用箭头标明(其他实验相同,不再说明)。其中除T4为脉冲信号,其它均为电平控制信号。实验电路中的控制时序信号均已内部连至相应时序信号引出端,进行实验时,还需将S3、S2、S1、S0、Cn、M、LDDR1、LDDR2、ALU_G、SW_G各电平控制信号与开关单元中的二进制数据开关进行跳线连接。其中ALU_G、SW_G为低电平有效,LDDR1、LDDR2为低电平有效。

相关文档