文档库 最新最全的文档下载
当前位置:文档库 › 微波技术与天线仿真实验报告.docx

微波技术与天线仿真实验报告.docx

微波技术与天线仿真实验报告.docx
微波技术与天线仿真实验报告.docx

《微波技术与天线》HFSS仿真实验报告

实验二H面T型波导分支器设计

一.仿真实验内容和目的

使用HFSS设计一个带有隔片的H面T型波导分支器,首先分析隔片位于T型波导正中央,在8~10GHz的工作频段内,波导输入输出端口的S参数随频率变化的关系曲线以及10GHz时波导表面的电场分布;然后通过参数扫描分析以及优化设计效用分析在10GHz处输入输出端口的S参数随着隔片位置变化而变化的关系曲线;最后利用HFSS优化设计效用找出端口三输出功率是端口二输出功率两倍时隔片所在位置。

二.设计模型简介

整个H面T型波导分为两个部分:T型波导模型,隔片。见图1。

图1

三.建模和仿真步骤

1.运行HFSS并新建工程,把工程另存为Tee.hfss。

2.选择求解类型:主菜单HFSS→solution type→driven modal,设置求解类型为模式驱动。

3.设置长度单位:主菜单modeler→units→in,设置默认长度单位为英寸。

4.创建长方体模型

1)从主菜单选择draw→box,进入创建长方体模型的工作状态,移动鼠标到HFSS工作界面的右下角状态栏,在状态栏输入长方体的起始点坐标为(0,-0.45,0),按下回车键确认之后在状态栏输入长方体的长宽高分别为2,0.9,0.4。

2)再次按下回车键之后,在新建长方体的属性对话框修改物体的位置,尺寸,名称,材料和透明度等属性。在attribute选项卡中将长方体名称项(name)修改为Tee,材料属性(material)保持为真空(vacuum)不变,透明度(transparent)设置为0.4。

3)设置端口激励

4)复制长方体第二个和第三个臂

5)合并长方体

5.创建隔片

1)创建一个长方体并设置位置和尺寸

2)执行相减操作

上诉步骤完成后即可得到H面T型波导的三维仿真模型图如图2所示

图2

6.分析求解设置

1)添加求解设置:在工程管理窗口中展开工程并选中analyse节点,单击右键,在弹出的快捷菜单中选择add solution type并设置相关参数,完成后工程管理窗口的analyse节点下会添加一个名称为setup1的求解设置项

2)添加扫频设置:在工程管理窗口中展开analysis节点,右键单击前面添加的setup1求解设置项,在弹出菜单中单击add frequency sweep,并设置sweep name,sweep type,等参数。Frequency setup项作表1所示的设置。

Type Linearsetup

Start 8GHz

Stop 10GHz

Step size 0.01GHz

表1

3)设计检查

7.运行仿真分析:HFSS→analyze all

四.仿真结果分析

1.图形化显示S参数计算结果

图3为S11,S12,S13幅度随着频率变化的曲线。

从图中可以看出,随着频率的增加,S11,S12的幅度略有降低,S13的幅度有明显上升。

图3

2.查看表面电场分布

图4位T型波导上表面场分布情况,图5为动态演示场分布图。

从场分布图中可以看出,信号从端口1进入,分别从端口2和端口3输出。通过调整隔片的位置可以调节从端口1传输到端口2,端口3的信号能量大小。

图4--T型波导上表面场分布情况

图5--动态演示场分布图

五.参数扫描研究

使用HFSS optimetrics模块的参数扫描分析效用,分析T型波导端口的输出功率和隔片位置之间的关系。

功率分配随变量offset变化的关系如图6:

图6--功率分配随变量offset变化关系

六.优化设计

添加优化设计项,进行优化设计,找出端口3的输出功率是端口2输出功率两倍的隔片位置。

优化分析完成之后,在table列表里会列出变量offset优化后的最佳值。本例中,从图7优化结果中可以看出,当变量offset=0.96in时,T型波导端口3的输出功率是端口2的输出功率的两倍。

图7

七.总计和思考

通过本次实验,对HFSS的工作界面,操作步骤以及工作流程有了一个整体的直观的认知。期待在后面的实验中,通过不断的深入学习,进一步理解设计的原理。

《微波技术与天线》HFSS仿真实验报告

实验三半波偶极子天线设计

专业电子信息工程年级14级

姓名赵广元学号1428403058

指导老师

评分

一.仿真实验内容和目的

使用HFSS设计一个中心频率为3GHz的半波偶极子天线。并查看天线的回波损耗,电压驻波比,Smith圆图,输入阻抗,以及方向图等其他参数。

二.设计模型简介

整个半波偶极子由偶极子天线和辐射边界组成,如图1。天线各部分的结构尺寸见表1.

图1

变量意义变量名变量值(单位:mm)

工作波长Lambda 100

天线总长度length 0.48*lambda

端口距离gap 0.24

单个极子长度dip_length length/2-gap/2

天线半径dip_radius lambda/200

辐射边界圆柱体半径rad_radius dip_radius+lambda/4

辐射边界圆柱体高度/2 rad_height dip_length+gap/2+lambda/10

表1

三.建模和仿真步骤

5.新建设计工程

1)运行HFSS并新建工程,把工程另存为dipole.hfss。

2)选择求解类型:主菜单HFSS→solution type→driven modal,设置求解类型为模式驱动。

3)设置模型长度单位:主菜单modeler→units→mm,设置默认长度单位为毫米。

2.添加和定义设计变量

1)从主菜单选择HFSS→design properties命令,打开设计属性对话框添加变量Lambda

2)使用相同的操作步骤定义变量length,gap,dip_length,dip_radius,rad_radius,rad_height,并设置初始值分别为0.48*lambda,0.24,length/2-gap/2,lambda/200,dip_radius+lambda/4,dip_length+gap/2+lambda/10。

3.设计建模

1)创建偶极子天线模型

2)设置端口激励

3)设置辐射边界条件

4.求解设置:分析的半波偶极子的天线在3GHz附近,所以设置求解频率为3GHz,同时添加2.5GHz~3.5GHz的扫频设置,扫频类型选择快速扫频,分析天线在2.5GHz~3.5GHz 频段内的回波损耗和电压驻波比。

1)求解频率和网格剖分设置:设置求解频率为3GHz,自适应网络剖分的最大迭代次数为20,收敛误差为0.02。

2)扫频设置:扫频类型选择快速扫频,扫频频率范围为2.5GHz~3.5GHz,频率步进为0.001GHz。

5.设计检查和运行仿真计算

四.仿真结果分析

1.回波损耗

从图2可以看出,设计的偶极子天线的中心频率约为3GHz,S11<-10dB的相对带宽BW=(3.249-2.789)/3=15.3%。

图2

2.电压驻波比VSWR

由图3可以看出,在中心频率为3GHz时,电压驻波比约为1.

图3

3.Smith圆图

从Smith圆图的结果图4可以看出,在中心频率为3GHz时的归一化阻抗约为1,说明天线的端口阻抗匹配良好。VSWR<2(即反射系数|T|<1/3)的频率范围约为2.78GHz-3.27GHz.

图4

4.输入阻抗

从结果报告图5中可以看出,设计的半波偶极子天线在中心频率3GHz上,输入阻抗为(72.8-j0.4)Ω,和理论分析结果十分相近。

图5

5.方向图

1)半波偶极子XZ面的增益方向图如图6

图6

2)半波偶极子XY面的增益方向图如图7

图7

3)三维增益方向图如图8

图8

五.总结和思考

本次实验在第一次实验的基础上查看了天线的回波损耗,电压驻波比,Smith圆图,输入阻抗,以及方向图等其他参数。对这些参数的分析更加深刻的体会到了HFSS 强大的仿真效用,对相关模型的参数分析也帮助自己进一步理解了模型分析的原理。

《微波技术与天线》HFSS仿真实验报告

实验四八木-宇田天线设计

专业电子信息工程年级14级

姓名赵广元学号1428403058

指导老师

评分

一.仿真实验内容和目的

学习理解八木天线的原理及结构,设计一个中心频率为3GHz的五元八木天线,根据给定的增益、波瓣宽度、福瓣宽度、前后辐射比、驻波比以及工作带宽确定天线的振子数、各振子长度及直径、各振子间的间距等几何尺寸。

二.设计模型简介

设计模型如图1,有源振子采用圆柱形对称偶极子,偶极子采用集总波端口激励;反向器和引向器均为金属圆柱,振子垂直于xy平面,天线的引向器指向y轴的正方向,金属振子材料为铝;选择长方形作为辐射空气腔并设置辐射边界。该天线的工作频率为3GHz,所以我们设置求解中心频率为3GHz,并设置扫频范围为2.5-3.5GHz。定义的相关设计变量如表1。

电力电子技术实验报告

实验一 SCR、GTO、MOSFET、GTR、IGBT特性实验 一、实验目的 (1)掌握各种电力电子器件的工作特性。 (2)掌握各器件对触发信号的要求。 二、实验所需挂件及附件 序 型号备注 号 1DJK01 电源控制屏该控制屏包含“三相电源输出”等几个模块。2DJK06 给定及实验器件该挂件包含“二极管”等几个模块。 3DJK07 新器件特性实验 DJK09 单相调压与可调负 4 载 5万用表自备 将电力电子器件(包括SCR、GTO、MOSFET、GTR、IGBT五种)和负载电阻R 串联后接至直流电源的两端,由DJK06上的给定为新器件提供触发电压信号,给定电压从零开始调节,直至器件触发导通,从而可测得在上述过程中器件的V/A特性;图中的电阻R用DJK09 上的可调电阻负载,将两个90Ω的电阻接成串联形式,最大可通过电流为1.3A;直流电压和电流表可从DJK01电源控制屏上获得,五种电力电子器件均在DJK07挂箱上;直流电源从电源控制屏的输出接DJK09上的单相调压器,然后调压器输出接DJK09上整流及滤波电路,从而得到一个输出可以由调压器调节的直流电压源。 实验线路的具体接线如下图所示: 四、实验内容 (1)晶闸管(SCR)特性实验。

(3)功率场效应管(MOSFET)特性实验。

(5)绝缘双极性晶体管(IGBT)特性实验。 五、实验方法 (1)按图3-26接线,首先将晶闸管(SCR)接入主电路,在实验开始时,将DJK06上的给定电位器RP1沿逆时针旋到底,S1拨到“正给定”侧,S2拨到“给定”侧,单相调压器逆时针调到底,DJK09上的可调电阻调到阻值为最大的位置;打开DJK06的电源开关,按下控制屏上的“启动”按钮,然后缓慢调节调压器,同时监视电压表的读数,当直流电压升到40V时,停止调节单相调压器(在以后的其他实验中,均不用调节);调节给定电位器RP1,逐步增加给定电压,监视电压表、电流表的读数,当电压表指示接近零(表示管子完全导通),停止调节,记录给定电压U

乐龙仿真软件实验报告2

计信学院上机报告 课程名称:配送与配送中心姓名:夏冰山学号:0892110220 指导教师:陈达强班级:物流08乙日期:2010-04-17 一、上机内容及要求: 根据实验三仓储型物流中心模型,在乐龙软件种完成模型的建立; 1.根据模型仿真的结果分析瓶颈的所在; 2.改进模型,再次进行模拟; 二、完成报告(预备知识、步骤、程序框图、程序、思考等): 建立模型:根据实验三的要求建立模型,如图1所示。 模拟条件:时间模式为1:1,其他设备的速度为默认状态。 模型瓶颈: 在模拟运行6分钟后产生瓶颈。由于装货平台出的机械手臂速度过慢,导致货物在传送带上堵塞,影响入库速度。为此我们依次加快了机械手臂的速度,AS/RS水平和垂直方向的速度,瓶颈随着相应设备速度的调整随之转移。但是由于AS/RS堆垛机的最大速度受限,所以加快速度只能够缓解情况,而不能从根本上解除瓶颈。 为此提出解决方案如下: ①如果AS/RS的装货平台和卸货平台在同一侧,将入库申请和出库申请分别排序,第一个出 库作业和第一个入库作业组合为一个联合作业任务,从而缩短存取周期、提高存取效率; ②将AS/RS的装货平台和出货平台分设在仓库的两端,合理考虑入库货位和出货货位的位置, 使得堆垛机在巷道中的运行路径不重复或者重复线路最短; ③增加AS/RS的入库/出库平台数量。 实验感想: 模拟后根据直接观察或者通过日志文件的分析得到瓶颈,眼睛直接看到的瓶颈有时未必是真正的问题所在。例如本次实验,瓶颈直接产生在机械手臂,但是进过分析我们知道真正的瓶颈是AS/RS的堆垛机的速度。所以在寻找瓶颈时不要被假象所误导,随之做出无效的改进方案。

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

电工和电子技术(A)1实验报告解读

实验一 电位、电压的测定及基尔霍夫定律 1.1电位、电压的测定及电路电位图的绘制 一、实验目的 1.验证电路中电位的相对性、电压的绝对性 2. 掌握电路电位图的绘制方法 三、实验内容 利用DVCC-03实验挂箱上的“基尔霍夫定律/叠加原理”实验电路板,按图1-1接线。 1. 分别将两路直流稳压电源接入电路,令 U 1=6V ,U 2=12V 。(先调准输出电压值,再接入实验线路中。) 2. 以图1-1中的A 点作为电位的参考点,分别测量B 、C 、D 、E 、F 各点的电位值φ及相邻两点之间的电压值U AB 、U BC 、U CD 、U DE 、U EF 及U FA ,数据列于表中。 3. 以D 点作为参考点,重复实验内容2的测量,测得数据列于表中。 图 1-1

四、思考题 若以F点为参考电位点,实验测得各点的电位值;现令E点作为参考电位点,试问此时各点的电位值应有何变化? 答: 五、实验报告 1.根据实验数据,绘制两个电位图形,并对照观察各对应两点间的电压情况。两个电位图的参考点不同,但各点的相对顺序应一致,以便对照。 答: 2. 完成数据表格中的计算,对误差作必要的分析。 答: 3. 总结电位相对性和电压绝对性的结论。 答:

1.2基尔霍夫定律的验证 一、实验目的 1. 验证基尔霍夫定律的正确性,加深对基尔霍夫定律的理解。 2. 学会用电流插头、插座测量各支路电流。 二、实验内容 实验线路与图1-1相同,用DVCC-03挂箱的“基尔霍夫定律/叠加原理”电路板。 1. 实验前先任意设定三条支路电流正方向。如图1-1中的I1、I2、I3的方向已设定。闭合回路的正方向可任意设定。 2. 分别将两路直流稳压源接入电路,令U1=6V,U2=12V。 3. 熟悉电流插头的结构,将电流插头的两端接至数字电流表的“+、-”两端。 4. 将电流插头分别插入三条支路的三个电流插座中,读出并记录电流值。 5. 用直流数字电压表分别测量两路电源及电阻元件上的电压值,记录之。 三、预习思考题 1. 根据图1-1的电路参数,计算出待测的电流I1、I2、I3和各电阻上的电压值,记入表中,以便实验测量时,可正确地选定电流表和电压表的量程。 答: 2. 实验中,若用指针式万用表直流毫安档测各支路电流,在什么情况下可能出现指针反偏,应如何处理?在记录数据时应注意什么?若用直流数字电流表进行测量时,则会有什么显示呢? 答:

#电力电子技术实验报告答案

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 三、实验线路及原理 锯齿波同步移相触发电路的原理图如图1-11所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见1-3节和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读本教材1-3节及电力电子技术教材中有关锯齿波同步移相 触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围

计算机仿真实训实验报告实验1-4

实验一 熟悉MATLAB 工作环境 16电气5班 周树楠 20160500529 一、实验目的 1.熟悉启动和退出MATLAB 软件的方法。 2.熟悉MATLAB 软件的运行环境。 3.熟悉MATLAB 的基本操作。 二、实验设备及条件 计算机一台(带有MATLAB6.0以上的软件境)。 三、实验内容 1.练习下面指令: cd,clear,dir,path,help,who,whos,save,load 。 2.建立自己的工作目录MYBIN 和MYDATA ,并将它们分别加到搜索路径的前面或者后面。 3.求23)]47(*212[÷-+的算术运算结果。 4.M 文件的建立,建立M 文件,求出下列表达式的值: ?? ????-+=++=+= 545.0212),1ln(21 185sin 2222 1i x x x z e z o 其中

5.利用MATLAB的帮助功能分别查询inv、plot、max、round函数的功能和用法。 四、运行环境介绍及注意事项 1.运行环境介绍 打开Matlab软件运行环境有图1-1所示的界面

图1-1 MATLAB的用户界面 操作界面主要的介绍如下: 指令窗( Command Window ),在该窗可键入各种送给 MATLAB 运作的指令、函数、表达式,并显示除图形外的所以运算结果。 历史指令窗( Command History ),该窗记录已经运行过的指令、函数、表达式;允许用户对它们进行选择复制、重运行,以及产生 M 文件。 工作空间浏览器( Workspace Browser ),该窗口罗列出 MATLAB 工作空间中所有的变量名、大小、字节数;并且在该窗中,可对变量进行观察、编辑、提取和保存。 其它还有当前目录浏览器( Current Directory Browser )、 M 文件编辑 / 调试器(Editor/Debugger )以及帮助导航/ 浏览器(Help Navigator/Browser )等,但通常不随操作界面的出现而启动。 利用 File 菜单可方便对文件或窗口进行管理。其中 File | New 的各子菜单, M-file ( M 文件)、 Figure (图形窗口)、或 Model ( Simulink 编辑界面)分别可创建对应文件或模块。 Edit 菜单允许用户和 Windows 的剪切板交互信息。 2.在指令窗操作时应特别注意以下几点 1)所有输入的指令、公式或数值必须按下回车键以后才能执行。例如: >>(10*19+2/4-34)/2*3 (回车) ans= 234.7500 2)所有的指令、变量名称都要区分字母的大小写。 3)%作为MATLAB注释的开始标志,以后的文字不影响计算的过程。 4)应该指定输出变量名称,否则MATLAB会将运算结果直接存入默认的输出变量名ans。 5)MATLAB可以将计算结果以不同的精确度的数字格式显示,可以直接在指令视窗键入不同的数字显示格式指令。例如:>>format short (这是默认的) 6)MATLAB利用了↑↓二个游标键可以将所输过的指令叫回来重复使用。按下↑则前一次输入的指令重新出现,之后再按Enter键,即再执行前一次的指令。

电源仿真实验报告.

电子技术软件仿真报告 组长: 组员: 电源(一)流稳压电源(Ⅰ)—串联型晶体管稳压电源 1.实验目的 (1)研究单相桥式整流、电容滤波电路的特性。 (2)掌握串联型晶体管稳压电源主要技术指标的测试方法。 2.实验原理 电子设备一般都需要直流电源供电。除少数直接利用干电池和直流发电机提供直流电外,大多数是采用把交流电(市电)转变为直流电的直流稳压电源。

直流稳压电源由电源变压器、整流、滤波和稳压电路四部分组成,其原理框图如图7.18.1所示。电网供给的交流电源Ui(220V,5OHz)经电源变压器降压后,得到符合电路需要的交流电压U2;然后由整流电路变换成方向不变、大小随时间变化的脉动电压U3;再用滤波器滤去其交流分量,就可得到比较平直的直流电压Ui。但这样的直流输出电压还会随交流电网电压的波动或负载的变动而变化。在对直流供电要求较高的场合,还需要用稳压电路,以保证输出直流电压更加稳定。 图7.18.2所示为分立元件组成的串联型稳压电源的电路图。其整流部分为单相桥式整流、电容滤波电路。稳压部分为串联型稳压电路它由调整元件(晶体管V1)、比较放大器(V2,R7)、取样电路(R1,R2,RP)、基准电压(V2,R3)和过流保护电路(V3及电阻R4,R5,R6)等组成。整个稳压电路是一个具有电压串联负反馈的闭环系统。其稳压过程为:当电网电压波动或负载变动引起输出直流电压发生变化时,取样电路取出输出电压的一部分送入比较放大器,并与基准电压进行比较,产生的误差信号经V2放大后送至调整管V1的基极,使调整管改变其管压降,以补偿输出电压的变化,从而达到稳定输出电压的目的。 由于在稳压电路中,调整管与负载串联,因此流过它的电流与负载电流一样大。当输出电流过大或发生短路时,调整管会因电流过大或电压过高而损坏坏,所以需要对调整管加以保护。在图7.18.2所示的电路中,晶体管V3,R4,R5及R6组成减流型保护电路,此电路设计成在Iop=1.2Io时开始起保护作用,此时输出电路减小,输出电压降低。故障排除后应能自动恢复正常工作。在调试时,若保护作用提前,应减小R6的值;若保护作用迟后,则应增大R6的值。 稳压电源的主要性能指标: (1)输出电压Uo和输出电压调节范围 调节RP可以改变输出电压Uo。 (2)最大负载电流Iom (3)输出电阻Ro 输出电阻Ro定义为:当输入电压Ui(指稳压电路输入电压)保持不变,由于负载变化而引起的输出电压变化量与输出电流变化量之比,即 (4)稳压系数S(电压调整率)

电子技术基础实验报告要点

电子技术实验报告 学号: 222014321092015 姓名:刘娟 专业:教育技术学

实验三单级交流放大器(二) 一、实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 ●实验前校准示波器,检查信号源。 ●按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 ●调整RP2,使V C=Ec/2(取6~7伏),测试V B、V E、V b1的值,填入表3-1中。 表3-1 Array ●输入端接入f=1KHz、V i=20mV的正弦信号。 ●分别测出电阻R1两端对地信号电压V i及V i′按下式计算出输入电阻R i : ●测出负载电阻R L开路时的输出电压V∞,和接入R L(2K)时的输出电压V0 , 然后按下式计算出输 出电阻R0;

将测量数据及实验结果填入表3-2中。 2、观察静态工作点对放大器输出波形的影响,将观察结果分别填入表3-3,3-4中。 ●输入信号不变,用示波器观察正常工作时输出电压V o的波形并描画下来。 ●逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真的波形描 画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i,或将R b1由100KΩ改为10KΩ,直到出现明显失真波形。) ●逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真波形描画 下来,并说明是哪种失真。如果R P2=1M后,仍不出现失真,可以加大输入信号V i,直到出现明显失真波形。 表 3-3 ●调节R P2使输出电压波形不失真且幅值为最大(这时的电压放大倍数最大),测量此时的静态工 作点V c、V B、V b1和V O 。 表 3-4 五、实验报告 1、分析输入电阻和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc的值在6-7V之间,此时使用万用表。接入输入信号1khz 20mv后,用示波器测试Vi与Vi’,记录数据。用公式计算出输入电阻的值。在接入负载RL和不接入负载时分别用示波器测试Vo的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工

电力电子技术实验报告

实验一 DC-DC 变换电路的性能研究 一、实验目的 熟悉Matlab 的仿真实验环境,熟悉Buck 电路、Boost 电路、Cuk 电路及单端反激变换(Flyback )电路的工作原理,掌握这几种种基本DC-DC 变换电路的工作状态及波形情况,初步了解闭环控制技术在电力电子变换电路中的应用。 二、实验内容 1.Buck 变换电路的建模,波形观察及相关电压测试 2.Boost 变换电路的建模,波形观察及相关电压测试; 3.Cuk 电路的建模,波形观察及电压测试; 4.单端反激变换(Flyback )电路的建模,波形观察及电压测试,简单闭环控制原理研究。 (一)Buck 变换电路实验 (1)电感电容的计算过程: V V 500=,电流连续时,D=0.4; 临界负载电流为I= 20 50 =2.5A ; 保证电感电流连续:)1(20D I f V L s -?= =5 .210002024.0-150????) (=0.375mH 纹波电压 0.2%= s s f LCf D V ?8-10) (,在由电感值0.375mH ,算出C=31.25uF 。 (2)仿真模型如下: 在20KHz 工作频率下的波形如下:

示波器显示的六个波形依次为:MOSFET的门极电压、流过电阻两端的电流、电感电流、输出电压、MOSFET电流及续流二极管电流的波形。 在50KHz工作频率下的波形如下: 示波器显示的六个波形一次为:MOSFET的门极电压、流过电阻两端的电流、电感电流、输出电压、MOSFET电流及续流二极管电流的波形; 建立仿真模型如下:

(3)输出电压的平均值显示在仿真图上,分别为49.85,49.33; (4)提高开关频率,临界负载电流变小,电感电流更容易连续,输出电压的脉动减小,使得输出波形应更稳定。 (二)Boost 变换电路实验 (1)电感电容的计算过程: 升压比M= S V V 0=D -11,0V =15V,S V =6V,解得D=60%; 纹波电压0.2%=s c f f D ? ,c f RC 1=,s f =40KHz,求得L=12uH,C=750uf 。 建立仿真模型如下:

现代电子实验报告 电子科技大学

基于FPGA的现代电子实验设计报告 ——数字式秒表设计(VHDL)学院:物理电子学院 专业: 学号: 学生姓名: 指导教师:刘曦 实验地点:科研楼303 实验时间:

摘要: 通过使用VHDL语言开发FPGA的一般流程,重点介绍了秒表的基本原理和相应的设计方案,最终采用了一种基于FPGA 的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。该设计的秒表能准确地完成启动,停止,分段,复位功能。使用ModelSim 仿真软件对VHDL 程序做了仿真,并完成了综合布局布线,最终下载到EEC-FPGA实验板上取得良好测试效果。 关键词:FPGA,VHDL,ISE,ModelSim

目录 绪论 (4) 第一章实验任务 (5) 第二章系统需求和解决方案计划 (5) 第三章设计思路 (6) 第四章系统组成和解决方案 (6) 第五章各分模块原理 (8) 第六章仿真结果与分析 (11) 第七章分配引脚和下载实现 (13) 第八章实验结论 (14)

绪论: 1.1课程介绍: 《现代电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。 通过《现代电子技术综合实验》课程的学习,使学生对系统设计原理、主要性能参数的选择原则、单元电路和系统电路设计方法及仿真技术、测试方案拟定及调测技术有所了解;使学生初步掌握电子技术中应用开发的一般流程,初步建立起有关系统设计的基本概念,掌握其基本设计方法,为将来从事电子技术应用和研究工作打下基础。 本文介绍了基于FPGA的数字式秒表的设计方法,设计采用硬件描述语言VHDL ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan3A上取得良好测试效果。 1.2VHDL语言简介:

电子技术实验报告—实验4单级放大电路

电子技术实验报告 实验名称:单级放大电路 系别: 班号: 实验者姓名: 学号: 实验日期: 实验报告完成日期: ?

目录 一、实验目的 (3) 二、实验仪器 (3) 三、实验原理 (3) (一)单级低频放大器的模型和性能 (3) (二)放大器参数及其测量方法 (5) 四、实验内容 (7) 1、搭接实验电路 (7) 2、静态工作点的测量和调试 (8) 3、基本放大器的电压放大倍数、输入电阻、输出电阻的测量 (9) 4、放大器上限、下限频率的测量 (10) 5、电流串联负反馈放大器参数测量 (11) 五、思考题 (11) 六、实验总结 (11)

一、实验目的 1.学会在面包板上搭接电路的方法; 2.学习放大电路的调试方法; 3.掌握放大电路的静态工作点、电压放大倍数、输出电阻和通频带测量方法; 4.研究负反馈对放大器性能的影响;了解射级输出器的基本性能; 5.了解静态工作点对输出波形的影响和负载对放大电路倍数的影响。 二、实验仪器 1.示波器1台 2.函数信号发生器1台 3. 直流稳压电源1台 4.数字万用表1台 5.多功能电路实验箱1台 6.交流毫伏表1台 三、实验原理 (一) 单级低频放大器的模型和性能 1. 单级低频放大器的模型 单级低频放大器能将频率从几十Hz~几百kHz的低频信号进行不失真地放大,是放大器中最基本的放大器,单级低频放大器根据性能不同科分为基本放

大器和负反馈放大器。 从放大器的输出端取出信号电压(或电流)经过反馈网络得到反馈信号电压(或电流)送回放大器的输入端称为反馈。若反馈信号的极性与原输入信号的极性相反,则为负反馈。 根据输出端的取样信号(电压或电流)与送回输入端的连接方式(串联或并联)的不同,一般可分为四种反馈类型——电压串联反馈、电流串联反馈、电压并联反馈和电流并联反馈。负反馈是改变房卡器及其他电子系统特性的一种重要手段。负反馈使放大器的净输入信号减小,因此放大器的增益下降;同时改善了放大器的其他性能:提高了增益稳定性,展宽了通频带,减小了非线性失真,以及改变了放大器的输入阻抗和输出阻抗。负反馈对输入阻抗和输出阻抗的影响跟反馈类型有关。由于串联负反馈实在基本放大器的输入回路中串接了一个反馈电压,因而提高了输入阻抗,而并联负反馈是在输入回路上并联了一个反馈电流,从而降低了输入阻抗。凡是电压负反馈都有保持输出电压稳定的趋势,与此恒压相关的是输出阻抗减小;凡是电流负反馈都有保持输出电流稳定的趋势,与此恒流相关的是输出阻抗增大。 2.单级电流串联负反馈放大器与基本放大器的性能比较 电路图2是分压式偏置的共射级基本放大电路,它未引入交流负反馈。 电路图3是在图2的基础上,去掉射极旁路电容C e,这样就引入了电流串联负反馈。

模电仿真实验报告。

模拟电路仿真实验报告 张斌杰生物医学工程141班学号6103414032 Multisim软件使用 一、实验目的 1、掌握Multisim软件的基本操作和分析方法。 二、实验内容 1、场效应管放大电路设计与仿真 2、仪器放大器设计与仿真 3、逻辑电平信号检测电路设计与仿真 4、三极管Beta值分选电路设计与仿真 5、宽带放大电路设计与仿真 三、Multisim软件介绍 Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 一、实验名称: 仪器放大器设计与仿真 二、实验目的 1、掌握仪器放大器的设计方法 2、理解仪器放大器对共模信号的抑制能力 3、熟悉仪器放大器的调试功能 4、掌握虚拟仪器库中关于测试模拟电路仪器的使用方法,如示波器,毫伏表信 号发生器等虚拟仪器的使用 三、设计实验电路图:

四、测量实验结果: 差模分别输入信号1mv第二条线与第三条线:第一条线输出为差模放大为399mv。 共模输入2mv的的电压,输出为2mv的电压。 五、实验心得: 应用Multisim首先要准备好器件的pspice模型,这是最重要的,没有这个东西免谈,当然Spice高手除外。下面就可以利用Multisim的元件向导功能制作自己的仿真元件模型了。将刚刚做好的元件保存,你可能注意到了,保存的路径里面没有出现Master Database,即主数据库,这就是Multisim做的较好的其中一方面,你无论是新建元件还是修改主数据库里面的元件,都不会影响主数据库里面的元件,选好路径以后点击Finish即可,一个新元件就被创建了。在应用电子仿真软件 Multisim进行虚拟仿真时,有许多传感器或新器件,只要知道了它们的电特性或在电路中的作用,完全可以灵活采用变通的办法代替进行仿真,本来软件就是进行虚拟实验的,并不一定非要用真实元件不可,这样可以大大地拓宽电子仿真软件 Multisim的应用范围。再说用软件仿真时不存在损坏和烧毁元件、仪器的问题,只要设计好了电路都可以试一试,仿真成功了就可以进行实际电路的组装和调试,不

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

《电力电子技术》实验报告-1

河南安阳职业技术学院机电工程系电子实验实训室(2011.9编制) 目录 实验报告一晶闸管的控制特性及作为开关的应用 (1) 实验报告二单结晶体管触发电路 (3) 实验报告三晶闸管单相半控桥式整流电路的调试与分析(电阻负载) (6) 实验报告四晶闸管单相半控桥式整流电路的研究(感性、反电势负载) (8) 实验报告五直流-直流集成电压变换电路的应用与调试 (10)

实验报告一晶闸管的控制特性及作为开关的应用 一、实训目的 1.掌握晶闸管半控型的控制特点。 2.学会晶闸管作为固体开关在路灯自动控制中的应用。 二、晶闸管工作原理和实训电路 1.晶闸管工作原理 晶闸管的控制特性是:在晶闸管的阳极和阴极之间加上一个正向电压(阳极为高电位);在门极与阴极之间再加上一定的电压(称为触发电压),通以一定的电流(称为门极触发电流,这通常由触发电路发给一个触发脉冲来实现),则阳极与阴极间在电压的作用下便会导通。当晶闸管导通后,即使触发脉冲消失,晶闸管仍将继续导通而不会自行关断,只能靠加在阳极和阴极间的电压接近于零,通过的电流小到一定的数值(称为维持电流)以下,晶闸管才会关断,因此晶闸管是一种半控型电力电子元件。 2.晶闸管控制特性测试的实训电路 图1.1晶闸管控制特性测试电路 3.晶闸管作为固体开关在路灯自动控制电路中的应用电路 图1.2路灯自动控制电路 三、实训设备(略,看实验指导书)

四、实训内容与实训步骤(略,看实验指导书) 五、实训报告要求 1.根据对图1.1所示电路测试的结果,写出晶闸管的控制特点。记录BT151晶闸管导通所需的触发电压U G、触发电流I G及导通时的管压降U AK。 2.简述路灯自动控制电路的工作原理。

模拟电子技术实验报告

姓名:赵晓磊学号:1120130376 班级:02311301 科目:模拟电子技术实验B 实验二:EDA实验 一、实验目的 1.了解EDA技术的发展、应用概述。 2. 掌握Multisim 1 3.0 软件的使用,完成对电路图的仿真测试。 二、实验电路

三、试验软件与环境 Multisim 13.0 Windows 7 (x64) 四、实验内容与步骤 1.实验内容 了解元件工具箱中常用的器件的调用、参数选择。 调用各类仿真仪表,掌握各类仿真仪表控制面板的功能。 完成实验指导书中实验四两级放大电路实验(不带负反馈)。 2.实验步骤 测量两级放大电路静态工作点,要求调整后Uc1 = 10V。 测定空载和带载两种情况下的电压放大倍数,用示波器观察输入电压和输出电压的相位关系。 测输入电阻Ri,其中Rs = 2kΩ。 测输出电阻Ro。 测量两级放大电路的通频带。 五、实验结果 1. 两级放大电路静态工作点 断开us,Ui+端对地短路

2. 空载和带载两种情况下的电压放大倍数接入us,Rs = 0 带载: 负载: 经过比较,输入电压和输出电压同相。 3. 测输入电阻Ri Rs = 2kΩ,RL = ∞ Ui = 1.701mV

Ri = Ui/(Us-Ui)*Rs = 11.38kΩ 4. 测输出电阻Ro Rs = 0 RL = ∞,Uo’=979.3mV RL = 4.7kΩ,Uo = 716.7mV Ro = (Uo’/Uo - 1)*R = 1.72kΩ 5. 测量两级放大电路的通频带电路最大增益49.77dB 下限截止频率fL = 75.704Hz 上限截止频率fH = 54.483kHz 六、实验收获、体会与建议

电工电子技术实验报告

电工电子技术实验报告 学院 班级 学号 姓名 天津工业大学电气工程与自动化学院电工教学部 二零一三年九月

目录 第一项实验室规则------------------------------------------------------------------ i 第二项实验报告的要求------------------------------------------------------------ i 第三项学生课前应做的准备工作------------------------------------------------ii 第四项基本实验技能和要求----------------------------------------------------- ii 实验一叠加定理和戴维南定理的研究------------------------------------------ 1实验二串联交流电路和改善电路功率因数的研究--------------------------- 7实验三电动机的起动、点动、正反转和时间控制--------------------------- 14实验四继电接触器综合性-设计性实验----------------------------------------20 实验五常用电子仪器的使用---------------------------------------------------- 22实验六单管低频电压放大器---------------------------------------------------- 29实验七集成门电路及其应用---------------------------------------------------- 33 实验八组合逻辑电路------------------------------------------------------------- 37实验九触发器及其应用---------------------------------------------------------- 40 实验十四人抢答器---------------------------------------------------------------- 45附录实验用集成芯片---------------------------------------------------------- 50

单片机实验报告含仿真设计

单片机原理及应用课程 实验报告 专业: 班级: : 学号:

实验一、keilC51及proteus软件的使用 一、实验目的: 1、掌握keil和proteus软件的基本操作 2、通过具体实例掌握keil和proteus软件的使用。 二、实验原理: keil使用步骤,proteus使用步骤 三、程序: 四、实验结果分析: 五、总结:学会了使用keil和proteus软件,掌握了利用keil和proteus 软件进行仿真的步骤。

实验二、并行输入/输出接口实验 一、实验目的: 1、进一步熟悉keil仿真软件、proteus仿真软件的使用。 2、了解并熟悉单片机I/O口和LED灯的电路结构,学会构建简单的流水灯电路。 3、掌握C51中单片机I/O口的编程方法和使用I/O口进行输入输出的注意事项。 二、实验原理: MCS 51单片机的串行口在实际使用中通常用于三种情况:利用方式 0 扩展并行 i/0 接口:利用方式 1 实现点对点的双机通信;利用方式 2 或方式 3 实现多机通信。利用方式 0 扩展并行 i/0 接口 MCS 5 1 单片机的串行口在方式 0 时,若外接一个串入并出的移位寄存器,就可以扩展并行输出口;若外接一个并入串出的移位寄存器,就可以扩展并行输入口。 三、程序: #include sbit P1_0=P1^0; void main() { unsigned char i; unsigned int j; SCON=0x00; i=0x01; for(;;) {

P1_0=0; SBUF=I; while(!TI) {i} P1_0=1;TI=0; for(j=0;j<=254;j++){;} i=i*2; if(i==0x00) i=0x01; } } 四、实验结果分析: 五、总结:进一步熟悉了keil仿真软件、proteus仿真软件的使用。了解并熟悉单片机I/O口和LED灯的电路结构,学会了构建简单的流水灯电路。掌握了C51中单片机I/O口的编程方法和使用I/O口进行输入输出的注意事项。

三相桥式全控整流电路实验报告

三相桥式全控整流电路实 验报告 Prepared on 24 November 2020

实验三三相桥式全控整流电路实验 一.实验目的 1.熟悉MCL-18, MCL-33组件。 2.熟悉三相桥式全控整流电路的接线及工作原理。 二.实验内容 1.MCL-18的调试 2.三相桥式全控整流电路 3.观察整流状态下,模拟电路故障现象时的波形。 三.实验线路及原理 实验线路如图3-12所示。主电路由三相全控整流电路组成。触发电路为数字集成电路,可输出经高频调制后的双窄脉冲链。三相桥式整流电路的工作原理可参见“电力电子技术”的有关教材。 四.实验设备及仪器 1.MCL—Ⅱ型电机控制教学实验台主控制屏。 2.MCL-18组件 3.MCL-33组件 4.MEL-03可调电阻器(900) 6.二踪示波器 7.万用表 五.实验方法 1.按图3-12接线,未上主电源之前,检查晶闸管的脉冲是否正常。 (1)打开MCL-18电源开关,给定电压有电压显示。

(2)用示波器观察MCL-33的双脉冲观察孔,应有间隔均匀,相互间隔60o 的幅度相同的双脉冲。 (3)用示波器观察每只晶闸管的控制极、阴极,应有幅度为1V —2V 的脉冲。注:将面板上的Ublf 接地(当三相桥式全控整流电路使用I 组桥晶闸管VT1~VT6时),将I 组桥式触发脉冲的六个琴键开关均拨到“接通”, 琴键开关不按下为导通。 (4)将给定输出Ug 接至MCL-33面板的Uct 端,在Uct=0时,调节偏移电压Ub ,使=90o 。(注:把示波器探头接到三相桥式整流输出端即U d 波形, 探头地线接到晶闸管阳极。) 2.三相桥式全控整流电路 (1) 电阻性负载 按图接线,将Rd 调至最大450 (900并联)。 三相调压器逆时针调到底,合上主电源,调节主控制屏输出电压U uv 、U vw 、U wu ,从0V 调至70V(指相电压)。调节Uct ,使 在30o ~90o 范围内变化,用示波器观察记录=30O 、60O 、90O 时,整流电压u d =f (t ),晶闸管两端电压u VT =f (t )的波形,并记录相应的Ud 和交流输入电压U 2 数值。 30° 60° 90° 3.电感性负载 按图线路,将电感线圈(700mH)串入负载,Rd 调至最大(450)。 调节Uct ,使 在30o ~90o 范围内变化,用示波器观察记录=30 O 、60O 、90O 时,整流电压u d =f (t ),晶闸管两端电压u VT =f (t )的波形,并记录相应的Ud 和交流输入电压U 2 数值。 30° 60° 90°

物流仿真实验报告

《物流仿真实验》 实验报告书 实验报告题目:物流仿真实验 学院名称:管理学院 专业:物流管理 班级:物流1303 姓名:孟颖颖 学号:0325

成绩: 2016年7月 实验报告 一、实验名称 物流仿真实验 二、实验要求 ⑴根据模型描述和模型数据对配送中心进行建模; ⑵分析仿真实验结果,进行利润分析,找出利润最大化的策略。 三、实验目的 1、掌握仿真软件Flexsim的操作和应用,熟悉通过软件进行物流仿真建模。 2、记录Flexsim软件仿真模拟的过程,得出仿真的结果。 3、总结Flexsim仿真软件学习过程中的感受和收获。

三、实验设备 (1)硬件及其网络环境 服务器一台:PII400/128M以上配置、客户机100台、局域网或广域网。 (2)软件及其运行环境 Flexsim,Windows 2000 Server、SQL Server 以上版本、IIS 、SQL Server 数据库自动配置、IIS 虚拟目录自动配置 四、实验步骤 1 概念模型 2 建立Flexsim 模型 第一步:在模型中加入实体 从模型中拖入3个source、6个processor、3个Rack、3个Queue和1个Sink 到操作区,如图:

第二步:连接端口 根据配送流程,对模型进行适宜的连接,所有端口连接均用A连接,如图: 第三步:Source的参数设置 为使Source产生实体不影响后面Processor的生产,尽可能的将时间间隔设置尽可能的小,并对三个Source做出同样的设定。 打开Source参数设置窗口,将时间到达间隔设置为常数1,同时为对三个实体进行区别,进行设置产品颜色,点击触发器,打开离开触发的下拉菜单,点击设置临时实体类型,设置不同实体类型,颜色自然发生变化。并对另外两个Source 进行同样的设置,如图:

相关文档
相关文档 最新文档