文档库 最新最全的文档下载
当前位置:文档库 › 电子技术综合实验试题1

电子技术综合实验试题1

电子技术综合实验试题1
电子技术综合实验试题1

成绩构成:

题目:

设计一个如下图所示的序列信号发生器,其中输出信号Z的高、低电平变化频率为10HZ,系统输入时钟48MHZ。

要求:

一、完成电路设计及仿真(70分)

1、项目文件夹及项目名建立(5分)

2、实体命名及端口描述(5分)

3、结构体功能描述(40分)

4、仿真文件编写(10分)

5、仿真文件运行与分析(10分)

二、在开发板上完成下载实现(30分)

6、管脚适配(10分)

7、文件编程(10分)

8、下载实现与测试(10分)

开发板信息如下:

(1)器件型号为:xc3s200a-4ft256

(2)输入输出管脚配表如下:

注:CLK为系统时钟48MHZ;

Z对应指示灯LED8;低电平点亮。

成绩构成:

题目:

设计一个如下图所示的输入输出电路。

要求:

一、完成电路设计及仿真(70分)

1、项目文件夹及项目名建立(5分)

2、实体命名及端口描述(5分)

3、结构体功能描述(40分)

4、仿真文件编写(10分)

5、仿真文件运行与分析(10分)

二、在开发板上完成下载实现(30分)

6、管脚适配(10分)

7、文件编程(10分)

8、下载实现与测试(10分)

开发板信息如下:

(1)器件型号为:xc3s200a-4ft256

(2)输入输出管脚配表:

注:O[7]—O[0]对应指示灯LED8—LED1;低电平点亮;

I[2]—I[0]对应拨码开关SW-A-1—SW-A-3;

成绩构成:

题目:

设计一个以5Hz 频率依次点亮FPGA 开发板上8个指示灯LED1-LED8,指示灯按两亮两熄模式进行,低电平点亮。

要求:

一、完成电路设计及仿真。(

70分)

1、 项目文件夹及项目名建立(5分)

2、 实体命名及端口描述(5分)

3、 结构体功能描述(40分)

4、 仿真文件编写(10分)

5、 仿真文件运行及分析(10分) 二、在开发板上完成下载实现。(30分)

6、 管脚适配 (

10分) 7、 文件编程 (10分) 8、 下载实现与测试 (10分)

开发板信息如下:

(1) 器件型号为:xc3s200a-4ft256 (2) LED 为低电平时点亮 (3) 外部48MHz 有源晶振:

(4)8个LED :

成绩构成:

题目:

设计一个20秒倒计时器,用两只数码管显示,计时结束时显示00,同时产生报警输出,报警信号用指示灯显示(低电平点亮)。 要求:

一、完成电路设计及仿真。

(70分)

1、 项目文件夹及项目名建立(5分)

2、 实体命名及端口描述(5分)

3、 结构体功能描述(40分)

4、 仿真文件编写(10分)

5、

仿真文件运行与分析(10分) 二、在开发板上完成下载实现。(30分)

6、 管脚适配 (10分)

7、 文件编程 (10分)

8、 下载实现与测试 (10分) 开发板信息如下:

(1) 器件型号为:xc3s200a-4ft256

(2

) LED 为低电平时点亮,可用指示灯LED-8表示,对应管脚号为:B8 (3) 外部48MHz 有源晶振:

(4) 8位共阳数码管:

成绩构成:

题目:

设计一个带异步清零的8位二进制计数器,以5Hz频率用8个指示灯显示计数输出,其框图如下,clr为异步清零信号(低电平有效),Clk为输入时钟,Cnt[7:0]为计数输出信号。

要求:

一、完成电路设计及仿真。(70分)

1、项目文件夹及项目名建立(5分)

2、实体命名及端口描述(5分)

3、结构体功能描述(40分)

4、仿真文件编写(10分)

5、仿真文件运行与分析(10分)

二、在开发板上完成下载实现。(30分)

6、管脚适配(10分)

7、文件编程(10分)

8、下载实现与测试(10分)

开发板信息如下:

(1)器件型号为:xc3s200a-4ft256

(2)输入输出管脚配表:

注:CLK:系统时钟;CLR:清零,对应按键KEY-1,负触发(按下为低);

Cnt[7]—Cnt[0]:对应指示灯LED-8—LED-1;低电平点亮

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

(完整版)电子技术复习题(答案)

电子技术复习题 一、填空 1.二极管最主要的特性是 单向导电性 。 2.当电源电压升高时,电抗原件将能量存储起来,而当电源电压降低时,又将能量释放出来,从而使输出电压比较平滑,这就是滤波 3.双极性晶体管按结构可分为NPN 型和PNP 型。 4.晶体管是有三个电极的电流放大器,任选其中一个电极为公共电极时,可组成三种不同的四端网络,分别成为共基极、共发射极、共集电极。 5.构成放大电路的条件有两个:一是发射结正偏,集电结反偏;二是放大电路要有完善的直流通路和交流通路。 6、当温度升高时,会引起放大电路的静态工作点向上偏移,造成饱和失真 7、半导体的导电性能具有光敏性、热敏性和 掺杂性 特点。 8.半导体载流子的运动有扩散运动和 漂移 运动。 9.硅二极管的正向导通压降约为 0.6~0.7 V 10.二极管的反向电压在一定范围时,电流基本上是 恒定(或不变) 的。 11.稳压管工作在 反向击穿 区。 12.NPN 型硅三极管的发射结电压U BE 约这 0.6~0.7 V 。 13.PNP 型锗三极管的发射结电压U BE 约为 -0.2~ -0.3 V 。 14.非线性失真包括截止失真和 饱和 失真。 15.为不产生非线性失真,放大电路的静态工作点Q 大致选在交流负载线的 中点 ,输入信号的幅值不能太大。 16.在外部因素(如温度变化、三极管老化、电源电压波动等)的影响下,会引起放大电路 静态工作点 的偏移。 17.外部因素中,对放大电路静态工作点影响最大的是 温度 变化。 18.三极管级间耦合的方式主要有:阻容耦合、变压器耦合和 直接耦合 。 19.三极管阻容耦合电路的频率特性包括幅频特性和 相频特性 。 20.三极管阻容耦合电路的 电压放大倍数 与频率的关系称为幅频特性。 21.三极管阻容耦合电路的输出电压相对于输入电压的 相位移 与频率的关系称为相频特性。 22.场效应管是一种 电压 控制的单极型半导体器件。 23.场效应管有两种类型:结型场效应管、 绝缘栅 场效应管。 24.最常用的绝缘栅场效应管为 金属—氧化物—半导体(或MOS ) 场效应管 25.绝缘栅场效应管按工作状态可分为增强型和 耗尽 型两类。 26.在多级直接耦合放大电路中,即使把输入端短路,在输出端也会出现电压波动,使输出电压偏离零值,这种现象称为 零点漂移(或零漂) 。 27. 温度 对晶体管参数的影响是产生零漂的主要因素。 28.差动放大电路有两个输入端,在有信号输入时,其输入类型有:共模输入、差模输入和 两个任意信号 的输入。 29.通常采用 共模抑制 比来描述差动放大电路放大差模信号和抑制共模信号的能力。 30.集成运算放大电路通常由输入级、中间级和 输出级 三部分组成。 31.理想运放的两个重要特性为: 输入电流为零 和两个输入端子间的电压为零。 32.带负反馈的放大电路的输入电阻取决于反馈网络与基本放大电路输入端的 连接方式 ,与取样对象无关。 33.自激振荡的起振时应满足 AF>1 33.交流电源变换成直流电源的电路一般由电源变压器、整流电路、滤波电路和稳压电路等四部分组成。 34.晶体管作为开关使用,是指它的工作状态处于饱和导通状态和截止状态。 35.TTL 逻辑门电路的典型高电平值是3.6V ,典型低电平值是0.3V 。 36.逻辑代数中的基本运算关系是与、或、非 37.十进制数513对应的二进制数1000000001,对应的十六进制数是201。 38.CMOS 门电路的闲置输入端不能悬空,对于与门应当接到高电平,对于或门应当接到低电平。 39.JK 触发器的特性方程为n n n Q K Q J Q +=+1 40.根据用途分,存储器分为两大类。一类是RAM 另一类是ROM 。

数字电子技术模拟试题4

泰山学院课程考试专用 《数字电子技术》模拟试题 4 (试卷共8页,答题时间120分钟) 一、填空题(每空 1分,共 20 分。) 1、(33)10=( )16=( )2 2、若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非门的输出为___ ___,同或门的输出为__ __。 3、一个数字信号只有 种取值,分别表示为 和 。 4、一个三态门如图1.4, 当E ′=__________时,Y=)('AB 。 5、某EPROM 有8位数据线、13位地址线,则其存储容量为 位。 6、若要构成七进制计数器,最少用 个触发器,它有 个无效状态。 7、多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 8、A/D 转换的一般步骤包括 、 、 和 。 9、欲将触发器置为“1”态,应使D R '= , D S '= 。 二、选择题(每题 2分,共 20 分。请将答案填在下面的表格内)1、在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可_______。 A.接高电平 B.接低电平 C.悬空 D.通过大电阻接地 2、下图中,满足Q * =1 的触发器是_____________。

3、由四个触发器构成十进制计数器,其无效状态有__________。 A.四个 B.五个 C.六个 D.十个 4、以下电路中,欲获得一个数字系统的时钟脉冲源,应采用____________。 A .D 触发器 B.多谐振荡器 C.单稳态触发器 D.施密特触发器 5、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 6、用555定时器构成的施密特触发器的回差电压可表示为 。 A. cc V 3 1 https://www.wendangku.net/doc/b010382519.html, V 3 2 C. V cc D. cc V 4 3 7、在下列门电路中,输出端不可以并联使用的是 。 A. 三态门 B.集电极开路门(OC 门) C.具有推挽输出结构的TTL 门电路 D.CMOS 传输门 8、某A/D 转换器有8路模拟信号输入,若8路正弦输入信号的频率分别为1KHz ,…,8KHz ,则该A/D 转换器的采样频率f s 的取值应为 。 A. f s ≤1KHz B. f s =8KHz C. f s ≥16KHz D. f s ≥2KHz 9、四位环形计数器的有效状态有 个。 A. 2 B. 4 C. 6 D. 8 10、下列电路中不属于时序逻辑电路的是 。 A.计数器 B. 全加器 C.寄存器 D.分频器 1、Y 1=A )('BC +AB C ' 2、Y 2(A ,B ,C ,D )=∑m (1,3,5,7,8,9)+∑d(11,12,13,15)四、1、电路如图4.1(a)所示,各电路的CP 、A 、B 、C 波形如图(b )所示。

《电子技术实验1》实验指导书

实验一仪器使用 一、实验目的 1.明确函数信号发生器、直流稳压稳流电源和交流电压表的用途。 2.明确上述仪器面板上各旋钮的作用,学会正确的使用方法。 3.学习用示波器观察交流信号波形和测量电压、周期的方法。 二、实验仪器 8112C函数信号发生器一台 DF1731SC2A可调式直流稳压稳流电源一台 DF2170B交流电压表一台 双踪示波器一台 三、实验内容 1.调节8112C函数信号发生器输出1KHZ、100mV的正弦波信号,将操

2.将信号发生器输出的信号接入交流电压表测量,配合调节函数信号发生器的“MAPLITUDE POWER”旋钮,使其输出为100mV。 3.将上述信号接入双踪示波器测量其信号电压的峰峰值和周期值,并将操作方法填入下表。

四、实验总结 1、整理实验记录、分析实验结果及存在问题等。 五、预习要求 1.对照附录的示意图和说明,熟悉仪器各旋钮的作用。 2.写出下列预习思考题答案: (1)当用示波器进行定量测量时,时基扫描微调旋钮和垂直微调旋钮应处在什么位置?

(2)某一正弦波,其峰峰值在示波器屏幕上占垂直刻度为5格,一个周期占水平刻度为2格,垂直灵敏度选择旋钮置0.2V/div档,时基扫速选择旋钮置0.1mS/div档,探头衰减用×1,问被测信号的有效值和频率为多少?如何用器其他仪器进行验证?

附录一:8112C函数信号发生器 1.用途 (1)输出基本信号为正弦波、方波、三角波、脉冲波、锯齿波。输出幅值从5mv~20v,频率范围从0.1HZ~2MHZ。 (2)作为频率计数器使用,测频范围从10HZ~50MHZ,最大允许输入为30Vrms。 2.面板说明

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

电子技术复习题及答案

一、填空题 1、右图中二极管为理想器件, V1工作在_导通__ 状态;V2工作在__截止___状态。 2、差分放大器对差模信号有较强的放大能力,对共模信号有较强的__抑制__能力。 3、三级管工作在放大区时,发射结__正向__偏置,集电结__反向__偏置, 工作在饱和区时,发射结__正向_偏置,集电结_正向__偏置。 4、根据反馈的分类方式,负反馈电路有4种组合形式,即_串联负反馈、_并联负反馈__、_电流负反馈_、电压负反馈。 5、理想集成运算放大器有两个重要特性对分析线性运用电路非常有用,他们分别是虚短、虚断。 6、逻辑函数的表示形式有四种:逻辑函数式、______真值表____、卡诺图和逻辑图。 7、将十六进制(0BF)转换成十进制= __191________。 8、计数器、寄存器、编码器、译码器中,属于组合逻辑电路的是___译码器编码器___,属于时序逻辑电路的是_____计数器、寄存器_________ 。 9、共阳接法的发光二极管数码显示器,应采用___低_______电平驱动的七段显示译码器。 1、数字信号只有 0 和 1 两种取值。 2、十进制123的二进制数是 1111011 ;八进制数是 173 ;十六进制数是 7B 。 3、一位十进制计数器至少需要 4 个触发器。 4、有一A/D转换器,其输入和输出有理想的线性关系。当分别输入0V和5V电压时,输出的数字量为00H 和FFH,可求得当输入2V电压时,电路输出的数字量为: 66H 。 5、设ROM容量为256字×8位,则它应设置地址线 8 条,输出线 8 条。 6、用256字×4位RAM,扩展容量为1024字×8位RAM,则需要 8 片 1、在常温下,锗二极管的门槛电压约为 0.1 V,导通后在较大电流下的正向压降约为 0.2 V。 2、三极管须使发射结正向偏置,集电结反向偏置才能工作在放大区。 3、一般直流稳压电源由电源变压器、整流电路、滤波电路 和稳压电路四个部分组成。 4、按移位方向,移位寄存器可分为单向移动寄存器和双向移动寄存器。 5、三态门的“三态”指输出高电平,输出低电平和输出高阻态。 6、(101111)(2)=47(10),(87)(10)=1010111(2) 7、用一个称为时钟的特殊定时控制信号去限制存储单元状态的改变时间,具有这种特点的存储单元电路称为触发器。 8、时序电路分为组合电路和存储电路两种。 二、选择题 1、离散的,不连续的信号,称为(B ) A、模拟信号 B、数字信号 2、在下列逻辑部件中,不属于组合逻辑部件的是( D )。 A.译码器B.编码器 C.全加器D.寄存器

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

现代电子技术综合实验报告 熊万安

电子科技大学通信与信息工程学院实验报告 实验名称现代电子技术综合实验 姓名: 学号: 评分: 教师签字 电子科技大学教务处制

电子科技大学 实验报告 学生姓名:学号:指导教师:熊万安 实验地点:科A333 实验时间:2016.3.7-2016.3.17 一、实验室名称:电子技术综合实验室 二、实验项目名称:电子技术综合实验 三、实验学时:32 四、实验目的与任务: 1、熟悉系统设计与实现原理 2、掌握KEIL C51的基本使用方法 3、熟悉SMART SOPC实验箱的应用 4、连接电路,编程调试,实现各部分的功能 5、完成系统软件的编写与调试 五、实验器材 1、PC机一台 2、SMART SOPC实验箱一套 六、实验原理、步骤及内容 试验要求: 1. 数码管第1、2位显示“1-”,第3、4位显示秒表程序:从8.0秒到1.0秒不断循环倒计时变化;同时,每秒钟,蜂鸣器对应发出0.3秒的声音加0.7秒的暂停,对应第8秒到第1秒,声音分别为“多(高

音1)西(7)拉(6)索(5)发(4)米(3)莱(2)朵(中音1)”;数码管第5位显示“-”号,数码管第6、7、8位显示温度值,其中第6、7位显示温度的两位整数,第8位显示1位小数。按按键转到任务2。 2. 停止声音和温度。数码管第1、2位显示“2-”,第3、4位显示学号的最后2位,第5位显示“-”号,第6到第8位显示ADC电压三位数值,按按鍵Key后转到任务3,同时蜂鸣器发出中音2的声音0.3秒; 3. 数码管第1、2位显示“3-”,第3、4位显示秒表程序:从8.0秒到1.0秒不断循环倒计时变化;调节电压值,当其从0变为最大的过程中,8个发光二极管也从最暗(或熄灭)变为最亮,当电压值为最大时,秒表暂停;当电压值为最小时,秒表回到初始值8.0;当电压值是其他值时,数码管又回到第3、4位显示从8.0秒到1.0秒的循环倒计时秒表状态。按按鍵Key回到任务1,同时蜂鸣器发出中音5的声音0.3秒。

电子技术基础实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交 替”和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示 方式,当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描

数字电子技术(模拟试题1)

1、(110.101)2=()10,(12.7)10=()2 2、构成组合逻辑电路的基本逻辑单元是(),构成时序逻辑电路的基本逻辑单元电路是()。 3、T T L反相器的电压传输特性曲线中,转折区中点对应的输入电压称为()电压。 4、当七段显示译码器的输出为高电平有效时,应选用共()极数码管。 5、触发器移步输入端为低电平有效时,如果异步输入端R D=1,S D=0,则触发器直接置成()状态。 6.数字电路中,常用的脉冲波形产生电路是()器。 7、A/D和D/A转换器的转换精度指标,可采用()和()两个参数描述。 8、几个集电极开路与非门(O C门)输出端直接相连,配加负载电阻后实现()功能。 二、(15%) 1、将逻辑函数化为最小项之和形式:Y=A B C+A C+B C 2、用公式法化简逻辑函数: Y1=A C+A D+C D,Y2=A D+A D+A B+A C+B D+A C E F+B E F+D E F G; 3、用卡诺图化简逻辑函数: Y1=A B C+A B D+A C D+C D+A B C+A C D,Y2(A B C)=∑(m0,m1,m2,m4), 约束条件:m3+m5+m6+m7=0

1、试说明能否将与非门、或非门、异或门分别当作反响起来使用? 如果可以,各个门电路的输入端该如何连接?(利用两个输入一个输出的逻辑符号图分别表示出各门电路作为反向器使用时对应输入端的接法)。 2、4位输入的倒T型电阻网络D/A转换器,V R E F=-8V,在R f=R的条 件下,输入数字量d3d2d1d0=1010时,输出电压U0的数值是多少? 四、设计电路 1、举重比赛中有A、B、C三名裁判,A为主裁,当两名或两名以上 裁判(必须包括A在内)认为运动员上举杠铃合格,才能认为成功。 (1)、要求列真值表,用与非门电路设计该逻辑电路。 (2)、用74L S138芯片配合适当的门电路设计该逻辑电路

电子技术试题及答案(

资料收集于网络,如有侵权请联系网站删除 《电子技术基础》题库 适用班级:2012级电钳3、4、5、6班 备注:本学期进行到第七章;第一、二、三章是重点内容,要求掌握;第四、八章没有涉及。 一、填空题: 第一章半导体二极管 Q、根据导电能力来衡量,自然界的物质可以分为导体,半导体和绝缘体三类。 A2、导电性能介于导体和绝缘体之间物质是半导体。 Q3、半导体具有热敏特性、光敏特性、参杂的特性。 虫、PN结正偏时,P区接电源的正极,N极接电源的负极。 ?、PN结具有单向导电特性。 @、二极管的P区引出端叫正极或阳极,N区的引出端叫负极或阴极。 △7、按二极管所用的材料不同,可分为硅二极管和锗二极管两类; 食、按二极管用途不同,可分为普通二极管、整流二极管、稳压二极管、开关二极 管、发光二极管、光电二极管和变容二极管。 ★9、二极管的正向接法是二极管正极接电源的正极,负极接电源的负极:反响接法相反。Q0、硅二极管导通时的正向管压降约0.7V,锗二极管导通时的管压降约0.3V。 △11、使用二极管时,应考虑的主要参数是最大整流电流、最高反向电压和反向电流。 ★12、发光二极管将电信号转换为光信号。 ★13、变容二极管在高频收音机的自动频率控制电路中,通过改变其反向偏置电压来自动 调节本机震荡频率。 只供学习与交流 资料收集于网络,如有侵权请联系网站删除

★14、所谓理想二极管,就是当其正偏时,结电阻为零。 第二章半导体三极管及其放大电路 05、三极管是电流控制元件。 06、三极管具有放大作用外部电压条件是发射结正偏丿电结反偏。 ★17、当温度升高时,晶体三极管集电极电流Ic变大,发射结压降变小。 △18、三极管处在放大区时,其集电结电压小于零,发射结电压大于零。★19、三极管的发射区杂质浓度很高,而基区很薄。 △20、三极管实现放大作用的内部条件是:发射区杂质浓度要远大于基区杂质浓度,同时基区厚度要很小. △21、工作在放大区的某三极管,如果当I B从12讥增大到22讥时,I c从1mA变为2mA,那么它的B约为100 。 OL2、三极管的三个工作区域分别是饱和区、放大区和截止区。 ★23、发射结…正向…偏置,集电结正向偏置,贝U三极管处于饱和状态。 ★24、为了消除乙类互补功率放大器输出波形的(交越)失真,而采用(甲乙类)类互补功率放大器。 ★25、OCL电路是(双)电源互补功率放大电路; ★26、0TL电路是(单)电源互补功率放大电路。 ★27、共集电极电路电压放大倍数(1),输入电阻(大),输出电阻(小),常用在 输入级,输出级或缓冲级。 第三章集成运算放大器及其应用 &8、差分放大电路输入端加上大小相等、极性相同的两个信号,称为____ 。△29、差分放大电路能够抑制零点漂移。 只供学习与交流

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

中山大学电子技术综合实验论

激光图案控制电路焊接与调试 陈XX 微电子学 摘要:本课程要求分析激光控制系统的工作原理,焊接散装元件来组装激光控制器并调试。在这个过程中掌握电路的焊接与调试方法。 关键字:激光图案控制电路调试 通激光图案控制电路的焊接和调试实验,了解了激光控制电路的原理,培养了综合分析和设计电路的能力,焊接调试电路的能力。锻炼了动手能力,切实提高实验技能,增强了对微电子专业的兴趣。 1.实验内容 1.1实验目的 本课程通过焊接散装元件来组装收音机与激光控制器并调试。在这个过程中需要分析收音机与激光控制系统的工作原理,并掌握调试电路的方法。 在本课程中需学会认识元件,检测元件,使用万用表、电烙铁等工具的方法。掌握一定的焊接技巧。 1.2实验仪器及元件 变压器,万用表,万用版,整流桥,7812稳压管,555多谐振荡器,4017脉冲分配器,4013,麦克风,滑动变阻器,集成运放,继电器,各种阻值的电阻,二极管,发光二极管,电容,三极管,插座,导线等。 1.3电路原理 1.3.1激光控制器电路原理 (1)激光图案变化原理 激光器上有两个直流电机。每个电机上带有一个反射镜,当电机转动时,反射镜跟着转动,由于镜面不平,反射镜在转动时也出现微小的震动,因而射在镜面上的激光的入射角也出现微小波动,导致反射光不再是一条笔直不动的光线,而是有规律地摆动;这条有规律摆动的光射在第二个电机上,又会出现与第一个电机类似的情况,导致最终反射出的激光按照某种规律摆动,形成固定的图案。当任一个电机转速发生变化时,光线摆动的规律就会变化,导致图案发生变化。 该控制器总利用电压变化控制两个直流电机电机,不同的电压驱动电机产生不同的转速。两个电机的驱动电压大小由控制器决定。该控制器一共能产生8组图案。有两种工作模式使图案变化,一种是自动的,即隔一定时间图案自动变化,另一种模式是声控,即控制器接收到较大的声音时,图案发生变化。 (2)原理图

电工和电子技术(A)1实验报告

实验一 电位、电压的测定及基尔霍夫定律 1.1电位、电压的测定及电路电位图的绘制 一、实验目的 1.验证电路中电位的相对性、电压的绝对性 2. 掌握电路电位图的绘制方法 三、实验内容 利用DVCC-03实验挂箱上的“基尔霍夫定律/叠加原理”实验电路板,按图1-1接线。 1. 分别将两路直流稳压电源接入电路,令 U 1=6V ,U 2=12V 。(先调准输出电压值,再接入实验线路中。) 2. 以图1-1中的A 点作为电位的参考点,分别测量B 、C 、D 、E 、F 各点的电位值φ及相邻两点之间的电压值U AB 、U BC 、U CD 、U DE 、U EF 及U FA ,数据列于表中。 3. 以D 点作为参考点,重复实验内容2的测量,测得数据列于表中。 图 1-1

四、思考题 若以F点为参考电位点,实验测得各点的电位值;现令E点作为参考电位点,试问此时各点的电位值应有何变化? 答: 五、实验报告 1.根据实验数据,绘制两个电位图形,并对照观察各对应两点间的电压情况。两个电位图的参考点不同,但各点的相对顺序应一致,以便对照。 答: 2. 完成数据表格中的计算,对误差作必要的分析。 答: 3. 总结电位相对性和电压绝对性的结论。 答:

1.2基尔霍夫定律的验证 一、实验目的 1. 验证基尔霍夫定律的正确性,加深对基尔霍夫定律的理解。 2. 学会用电流插头、插座测量各支路电流。 二、实验内容 实验线路与图1-1相同,用DVCC-03挂箱的“基尔霍夫定律/叠加原理”电路板。 1. 实验前先任意设定三条支路电流正方向。如图1-1中的I1、I2、I3的方向已设定。闭合回路的正方向可任意设定。 2. 分别将两路直流稳压源接入电路,令U1=6V,U2=12V。 3. 熟悉电流插头的结构,将电流插头的两端接至数字电流表的“+、-”两端。 4. 将电流插头分别插入三条支路的三个电流插座中,读出并记录电流值。 5. 用直流数字电压表分别测量两路电源及电阻元件上的电压值,记录之。 三、预习思考题 1. 根据图1-1的电路参数,计算出待测的电流I1、I2、I3和各电阻上的电压值,记入表中,以便实验测量时,可正确地选定电流表和电压表的量程。 答: 2. 实验中,若用指针式万用表直流毫安档测各支路电流,在什么情况下可能出现指针反偏,应如何处理?在记录数据时应注意什么?若用直流数字电流表进行测量时,则会有什么显示呢? 答:

数字电子技术基础试题及答案(1)

5.某地址译码电路如图2所示,当输入地址变量 A7-A0的状态分别为什么状态 时,丫1、丫6分别才为低电平(被译中) 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 ________________ 和 _______________ 两分组成。 2. 十进制数(56) 10转换为二进制数为 ____________ 和十六进制数为 __________ 3. 串行进位加法器的缺点是 _________ ,想速度高时应采用 ____________ 加法器< 4. 多谐振荡器是一种波形 _________ 电路,它没有稳态,只有两个 ______________ 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M ________________ 二、化简、证明、分析综合题: 1. 写出函数F (A,B,C,D) = A B C D E 的反函数 2. 证明逻辑函数式相等: BC D D(B C)(AD B) B D 3. 已知逻辑函数 F= E( 3,5,8,9 , 10, 12) +E d(0,1,2) (1) 化简该函数为最简与或式: (2) 画出用两级与非门实现的最简与或式电路图: 4. 555定时器构成的多谐振动器图1所示,已知R 1=1K Q , R 2=8.2K Q , C=0.1卩F 试求脉冲宽度T ,振荡频率f 和占空比q 。 ) 级 班 ( 业 专 M As As 扣 As

6?触发器电路就输入信号的波形如图 3 所示,试分别写出D 触发器的Q 和Q1 的表达式,并画出其波形。 Q n+1= 7.已知电路如图4所示,试写出: ① 驱动方程; ② 状态方程; ③ 输出方程; ④ 状态表; ⑤ 电路功能。 二、设计题:(每10分,共20分) 1 ?设计一个三变量偶检验逻辑电路。当三变量 A B C 输入组合中的“ T 的 个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图 2 .试用74161、3-8译码器和少量门电路,实现图 5所示波形V01 VO2 其中CP 为输入波形。要求: 1 A Rd O E 營 _TLnJTTLTL D= J - Q i =

数字电子技术试题1

数字电子技术基础试题1 一、填空题(请将答案填在相应的答题线上。每空1分,共26分) 1.将十进制数()1043.375用二进制数表示为 ,用十六进制数表示为 。 2.二进制数()21101-的原码为 ,反码为 ,补码为 。 3.格雷码的特点为 ,()1049用8421BCD 码表示为 。 4.按触发信号触发方式的不同,可把触发器分为 、 、 三种类型。 5.PROM 是指 ,SRAM 是指 , CPLD 是指 ,GAL 是指 ,DAC 是指 。 6.有一逻辑表达式Y A BC =+,则其反演式为 ,对偶式为 。 7.图1-1所示的门电路的名称是 ,该门电路在 的情况下导通,导 通时输出Y = 。 图1-1V I v o v 图1-2 EN' () a () b I v o v 8.试指出图1-2所示各电路符号或电路的名称:(a ) ,(b ) 。 9.JK 触发器的特性方程为 。 10. 通过不同的外围连接555定时器可以组成 、 、 等电路。

二、单项选择题(从下列各小题的四个备选答案中,选出一个正确答案,并将其代号填在答题线上。每小题3分,共24分) 1.下列逻辑等式,不正确的是 。 A .A A B A += B .A A'B B += C .AB AB'A += D .()A A B A += 2.把逻辑函数式Y AB'C A'B C'=+++化成最简形式,可得 。 A .Y A' B =+ B .Y B C'=+ C .Y A'C'=+ D .1Y = 3.下列函数式中,是最小项之和形式的为 。 A .(),,Y A B C A B'C =+ B .(),,Y A B C A'B'C'AB'C A'BC'=++ C .()(),,Y A B C ABC A'BC''=+ D .(),,,Y A B C D ACD A'C'D ABD'=++ 4.可以将输出端直接并联实现“线与”逻辑功能的门电路是 。 A .三态输出的门电路 B .推拉式输出结构的TTL 门电路 C .互补输出结构的CMOS 门电路 D .集电极开路输出的TTL 门电路 5.在下列电路中,属于时序逻辑电路的是 。 A .编码器 B .译码器 C . 计数器 D .数据选择器 6.对于输入输出均为低电平有效的8线-3线优先编码器74HC148,已知'' 07 ~I I 优先级别依次增大,当它的输出端'''210010Y Y Y =时,它的输入端'''''''' 01234567I I I I I I I I 可能是 。 A .10011111 B . 10011011 C .11011001 D . 11111001 7.为了把串行输入的数据转换为并行输出的数据,可以使用 。 A .移位寄存器 B .寄存器 C .计数器 D .存储器 8.对图2-1所示的电路,下列陈述错误的是 。 A .当A =0, B =1时,Y =1 B .当A =1,B =0时,Y =1 C .当A = B =0时,Y =0 D .当A = B =1时,Y =1 三、化简题(应用卡诺图化简法把下列逻辑函数化为最简与或形式,共5分) ()()(),,,3,5,6,7,100,1,2,4,8Y A B C D m d =+∑ 图2-1 A B Y

相关文档
相关文档 最新文档