文档库 最新最全的文档下载
当前位置:文档库 › 基于VHDL的有限冲激响应滤波器的设计

基于VHDL的有限冲激响应滤波器的设计

基于VHDL的有限冲激响应滤波器的设计
基于VHDL的有限冲激响应滤波器的设计

1 绪论

1.1 课题背景及目的

在工程技术领域中几乎都会涉及到信号的处理问题,数字滤波器在通讯、雷达、声纳、遥感、图象处理和识别、语言处理和识别、地球物理资源考察、人工智能、核技术、生物医学工程等许多领域得到越来越广泛的应用。信号处理的目的一般是对信号进行分析、变换、与识别等。如何在较强的噪声背景下提取出真正的信号或信号的特征,并将其应用于工程实际是信号处理的首要任务。

根据处理对象的不同,信号处理技术分为模拟信号处理系统和数字信号处理系统。数字信号处理与模拟信号处理相比有许多优点,如相对于温度和工艺的变化数字信号要比模拟信号更稳健,在数字表示中,精度可以通过改变信号的字长来更好地控制,所以数字信号处理技术可以在放大信号的同时去除噪声和干扰,而在模拟信号处理技术中信号和噪声同时被放大,不利于噪声和干扰的去除,数字信号还可以不带误差地被存储和恢复、发送和接收、处理和操纵。目前,数字信号处理已经发展成为一项成熟的技术,并且在许多应用领域逐步代替了传统的模拟信号处理系统。

数字信号处理中一个非常重要且应用普遍的技术就是数字滤波。数字滤波是通过采用数值运算的方法来达到滤波目的的,通过一定的运算关系改变输入信号所含的频率成分的相对比例或滤除某些频率成分,达到提取和加强信号中的有用成份、消弱无用的干扰成份的目的。数值运算可以通过计算机编写软件来实现,可以通过普通的硬件组合来实现,也可以用专用的DSP芯片来实现还可以通过VHDL 等硬件描述语言的设计。用FPEG来实现数字滤波器按单位脉冲响应长度来分,可分为无限长单位脉冲响应IIR 滤波器和有限长单位脉冲响应FIR 滤波器。按频率响应来分可分为:低通、高通、带通、带阻滤波器。数字滤波器凭其特有的严格的线性相位和高稳定和高精度,可用快速傅立叶变换FFT 和其它快速算法来实现及设计灵活和适应性强等优点,他与模拟滤波相比,有精度高、可靠性高、灵活性好等突出优点,可以满足对幅度和相位的严格要求,还能降低开发费用,缩短研制到应用的时间,在很多领域逐步代替了传统的模拟信号系统。目前,应用FPGA来实现FIR滤波器这一新的FIR解决方案目前正处于研究探索阶段,随着大规模集成电路技术和EDA 技术的发展FPGA/CPLD 已被广泛应

用于实现全硬件的数字信号处理器或相应的电路模块,相对于传统的专用DSP 器件,无论在技术性能设计成本、上市速度还是应用领域方面。基于FPGA 的数字信号处理器表现出了难以逾越的良好性能和更加广阔的市场前景,它能有效克服传统DSP技术的诸多技术瓶颈,在许多方面显现出突出的优势,如高速与实时性,高可靠性,自主知识产权化,系统的重配置与硬件可重构性,单片DPS系统的可实现性以及开发技术的标准化和高效率等。在数字信号处理领域,具有领先和实际意义,本课题将通过实验用分布式算法来设计FIR滤波器的设计并对所设计的系统进行仿真实现,验证结果。FIR滤波器在数字信号处理中有着广泛的应用,因此,研究FRI的FPGA解决方案体现电子系统的微型化和单片化,将数字信号处理和FPGA的结合,无论是在理论研究上还是在如通讯、高清晰度电视、雷达、图象处理、数字音频等实际应用上都有着美好的技术前景和巨大的实用价值的。

1.2 国内外研究的现状

数字信号处理器具有灵活性、高稳定性、可靠性、集成度高等优点,促使研究人员不断对它进行研究和开发。但数字信号处理目前仍存在的缺点是:在一些重要研究领域,数字信号处理的速度还达不到实时处理的要求,例如超高频A/D转换器。数字信号处理可以采用两种方法实现:软件实现和硬件实现。软件实现是在通用计算机上执行数字信号处理程序。这种方法灵活,但实现方法较慢,一般不能实时处理,主要用于教学和科研。国内外的研究机构、公已经推出了不同语言的信号处理软件包。硬件主要采用MCU(单片机)、DPS(数字信号处理器)和集成电路来实现。其中,单片机速度较慢,集成电路虽然性能良好,但通常门限定的某一或某几个特定功能而设计,灵活性差,软件编程的通用数据处理芯片(如TMS320CXX)是目前应用一种方法。DSP处理器实质上是一种适用于数字信号处理的单片微处理主要特点是灵活性大,适应性强,具有可编程功能,且处理速度较高。近年来由于多媒体技术和无线通信的发展对DSP应用的要求不断地这些应用对信号处理要求高,需要采用处理速度高的硬件来实现DPS,随着CMOS工艺的线宽不断缩小,从研制高性能的DSP专用芯片处理器,直到近年来可以在单片上集成DSP的应用。但是,采用DSP处理器的解决方案日益面临着不断增加挑战,而自身的技术瓶颈(比如运行速度、吞吐量、总线结构的可变性、可重构配置性、硬件可升级性等等)致使这种解决方案在DPS的许多新领域中的道路越走越窄。

现场可编程门阵列在器件处理速度等达到片上系统的要求后,它所具有的系统内可重构的特性成DSP应用的优选方案之一。在许多诸如实时图像处理、联合战术无线电统、3G移动通信基站、实时工控系统、卫星导航设备等领域中,FPGA解决方案为数字信号处理开创了新的领域,国外有许多院校和科研机构在研究基于FPGA的DSP应用,我国在DSP技术起步较早,产品的研究开发成绩斐然,本上与国发展,而在FPGA方面起步较晚。全国有100来所高等院校从事这方面的教学和科研,除了一部分DSP芯片需要从国外进口外,在信号处理理法方面,与国外处于同等水平。而在FPGA信号处理和系统方面,有了可喜进展,正在进行与世界先进国家同样的研究。

现在,可编程逻辑器件在密度、性能和功耗上都有显著的进步,为数字信号处理开创了新的领域,使得构造的数字信号处理系统能够保持基于软件的解决方案的灵活性,又能够接近集成电路的性能。FPGA内部的功能块中的SRAM查找表构成函数发生器,这种结构特别适用于并行处理结构,如分布式算法(DA)。相对于串行运算为主导的通用DSP芯片来说,其并行度和可扩展性都很好,它逐渐成为构造可编程的高性能算法结构的新的选择。据分析,在未来,数字信号处理器将会主宰需要复杂算法的应用领域,而FPGA将会统治更多前端的应用,如FIR滤波、CORDIC算法等。

FIR数字滤波器的实现,大体上可以分为软件实现和硬件实现两种。软件实现方法是在通用的计算机上用软件实现。利用计算机的存储器、运算器和控制器把滤波所要完成的运算编成程序通过计算机来执行。由于这种方法速度慢,难以对信号进行实时处理,因而多用于教学与科研。

硬件实现是设计专门的数字滤波电路,如今FIR滤波器的硬件设计有多种实现方法。第一种是采用单片机来实现,但单片机的处理速度比较慢。第二种是采用专用的DSP芯片,但是DSP的串行指令执行方式使其速度和效率大打折扣,因此当滤波器的系数增加或字长增长时,计算时间会大大增加,而且在一些高速的应用中,DSP的性能的提高却落后于需求的增加。第三种是采用市场上通用的FIR滤波器集成电路,但由于它的通用性,很难满足设计者独特的要求。第四种是采用可编程逻辑器件的方法实现可编程逻辑器件在电子技术领域中的应用,为数字硬件电路系统的设计带来了极大的灵活性。由于可编程逻辑器件可以通过软件编程而对其硬件结构和工作方式进行调整,使得硬件的设计可以如同软件设计那样方便。

在FIR数字滤波器的设计过程中涉及的乘法运算方式有位串行乘法、并行乘法和用分布式算法的乘法。位串行乘法器的实现方法主要是通过对乘法运算进行分解,用加法

器来完成乘法功能。由于一个8X8位的乘法器输出为16位,为得到正确的16位结果,串行输入的二进制补码数要进行符号位扩展,即将串行输入的8位二进制补码前补8个0(对正数或8个1(对负数)后才输入乘法器。如果每一位的运算需要一个时钟周期的话,则这乘法器完成一次运算就需要16个时钟周期。虽然位串行乘法器使乘法器的硬件规模到了最小,但由于运算周期过长,在对一些实时性要求较高的场合是不适用的。

并行乘法速度快,但占用的硬件资源极大。随着滤波器阶数的增加,乘法器的位也变大,硬件规模将变得十分庞大。

分布式算法利用ROM或寄存器将固定系数的乘累加运算转移成查找表操作,避免了乘法运算。同时,查找表后的数据执行的都是简单加法运算,可以较大幅度地提高算速度。这种方法是目前比较常用的基于FPGA设计FIR滤波器的方法。分布式算法分为串行分布式算法、串并结合的分布式算法和并行分布式算法。串行分布式算法其构相对简单,占用资源少,但是处理速度吞吐量很高;并行分布式算法结构齐整,利用流水实现,多用于对速度要求高的场合,但占用资源大;串并结合的分布式算法是串行分布式算法与并行分布式算法的一个折衷,具体情况不同,效果也不同,缺点是有控电路的加入,增加了电路的复杂性[s]。

相对于前两种方法,分布式算法既可以全串行实现,又可以全并行实现,还可以并行结合实现,可以在硬件规模和处理速度之间作出适当的折衷,是现在被研究的主要方法。

1.3 论文的结构及研究内容

FIR可以对给定的频率特性直接进行设计,FIR滤波器的冲激响应是有限长序列,具有严格的线性相位特性,其系统函数为一个多项式,它所包含的极点都位于原点,所以FIR滤波器永远是稳定的。由于在数据通讯、语音信号处理、图像处理等传输过程中不能有明显的相位失真,而FIR滤波器在满足一定对称条件下可以实现IIR滤波器难以实现的线性相位,因而得到广泛应用。

随着数字信息技术的发展,数字滤波器已在许多领域得到广泛应用。在数字滤波器设计中,以往都是通过硬件电路来实现其功能,当今计算机软件技术不断发展,应用软件能够实现数字滤波器的功能和时序仿真,因而直观再现滤波器性能。本文通过VHDL 和MATLABL软件仿真实现压电直线微电机控制系统中FIR低通数字滤波器,使设计达到最优化。

本课题研究的主要内容是利用FPGA进行有限冲击响应(FIR)数字滤波器的研究,课题的主要内容如下:

(1)以FIR滤波器的基本原理为依据,研究适应工程实际的数字滤波器的设计方法。

(2 )FIR设计中的关键技术—乘法运算的高效实现,并结合选用的现场可编程逻辑器件的特点,实现乘加运算。

(3)采用硬件描述语言(VHDL),对FIR滤波器进行层次化、模块化、参数化的逻辑设计,编制滤波器的各个功能模块,对设计模块在MAX+plusⅡ软件里进行仿真实现,验证结果。

论文主要内容包括以下几个几部分:

第一章绪论

第二章介绍数字滤波器的设计理论,本章首先介绍了数字滤波器的基本理论,然后从实际工程设计出发提出一个FIR滤波器设计流程,包括滤波器结构的选择、滤波器的系数计算、系数的量化等,设计者按照此流程即能设计出满足实际性能需求的数字滤波器。提出FIR滤波器的解决方案,采用VHDL语言的开发方法。

第三章阐述了一个基于VHDL的低通滤波器的设计,介绍了VHDL语言以及其开发环境,说明具体实现方法,用MATLAB计算出所需参数,用VHDL语言编程,完成对整个FIR滤波器的功能模块的划分,以及各个功能模块的具体设计。

第四章进行FIR滤波器的测试与验证。为了验证FIR滤波器的实际滤波效果,实际制作了一个实验电路,进行了系统测试,对测试结果进行分析。

第五章是结论与展望,对论文进行了总结并对数字信号处理的发展进行了展望。

2 FIR 数字滤波器设计理论

2.1 数字滤波器概述

数字滤波器通常应用于修正或改变时域或频域中信号的属性。最为普通的数字滤波器就是线性时不变(LTI)滤波器。输入信号进入LTI 数字滤波器后,经过一个线性卷积的过程,得到输出信号,线性卷积过程的定义如下:

)()()(0k n x k h n y M

k -=∑= (2-1)

LTI 数字滤波器通常分为有限脉冲响应(FIR)和无限脉冲响应(IIR)两大类。FIR 滤波器由有限个采样值组成,式中卷积的数量为有限个。而IIR 滤波器需要执行无限数量次卷积。

2.2 FIR 滤波器的特点

FIR 滤波器相对于IIR 滤波器的优点与不足如下:

优点:

1.具有严格的线性相位又具有任意的幅度;

2.FIR 滤波器的单位采样响应是有限长的,因而滤波器性能稳定;

3.FIR 滤波器由于单位冲击响应是有限长的,因而可用快速傅里叶变换(FFT)算法来实现过滤信号,可大大提高运算效率。

不足:

1.FIR 系统的系数长度一般会比IIR 系统大,也就是说要设计一个符合要求的滤波器,FIR 系统需要较多乘法器,当以直接回旋运算执行时其效率较差。

2.此系统的输出延迟时间长。

由上面的比较可以看出,FIR 滤波器还是存在缺点的,但采用FPGA 进行FIR 滤波器的设计,运用FPGA 中的算法来提高速度,缩短延迟的时间,可以使FIR 滤波器符合指标的要求。随着FPGA 的快速发展,FIR 的缺点将逐渐被克服。

FIR 数字滤波器主要用来实现信号预处理、 防混叠、带选、 抽选/插补 、滤波和卷积等功能的。 以下简要介绍其结构原理和设计方案。

2.3 FIR 滤波器结构

FIR 滤波器的构成形式主要有直接型、级联型、线性相位FIR 滤波器和频率采样型等。

2.3.1 直接型结构

N 阶的FIR 滤波器系统的传递函数为

n N n z n h z H --=∑=10)()

( (2-2) 它有 N-1阶极点在Z=0 处 有 N-1 个零点位于有限Z 平面的任何位置,系统差分方程表达式为

)()()(1

0m n x m h n y N m -=∑-= (2-3)

上式就是输入序列x(n) 与单位冲击响应 h(n ) 的线性卷积 由上式可知 n 时刻的输入y (n ) 仅于 n 时刻的输入以及过去N-1 个输入值有关 可以直接画出其网络结构如图2.1所示图2.1给出了N 阶LTI 型FIR 滤波器的图解。由图2.1可见FIR 滤波器是由一个“抽头延迟线”加法器和乘法器的集合构成的。赋给每个乘法器的操作数就是一个FIR 系数。

图2.1 直接型FIR 滤波器结构

直接FIR 模型的一个变型称为转置FIR 滤波器,它是根据转置定理定义的。如果将图2.1所示网络中所有支路的方向倒转,并将输入x(n)和输出y(n)互换,则其系统传递函数H(z)不变,其转置结构见图2.2。

图2.2 转置型FIR滤波器结构

2.3.2 级联型

将系统函数H(z)转换为具有实系数的二阶节的积,然后这些二阶节均用直接型实现,整个滤波器作为二阶节的级联。

=

-

+

-

+

=

+

-

-

+???+

-

+

=

+

-

-

+???+

-

+

=

K

k

z

B k

z

B k

b

z M

b

b M

z

b

b

b

z M

b M

z

b

b

z

H

1

)2

2,

1

1,

1(

)1

1

1

1

1(0

1

1

1

1

)

(

(2-4)

其中K是等于?

?

?

??

?

2

M

,B

k,1

和B

k,2

是代表实数的各二阶节系数。对于M=5,其级联型结

构如图2.3。

图2.3级联型结构

2.3.3 线性相位型

相位响应是频率的线性函数

π

ω

π

αω

β

ω≤

<

-

-

=

∠,

)

(e j

H(2-5)

其中β=0或п/2。а是个常数。

若FIR因果滤波器的脉冲响应h(n)在[0,M-1]区间,线性相位会在h(n)上产生下列影响:

1

0,

2

1

,0

);

1

(

)

(-

-

=

=

-

-

=M

n

M

n

M

h

n

β(2-6)这就是对称脉冲响应。

1

0,

2

1

,2

);

1

(

)

(-

-

=

±

=

-

-

-

=M

n

M

n

M

h

n

π

β(2-7)这是反对称脉冲响应。

给一个对称脉冲响应差分方程:

???+

+

-

+

-

+

-

-

+

=

+

-

+

+

-

+???+

-

+

=

)]

2

(

)1

(

[1

)]

1

(

)

(

[0

)1

(

)2

(

1

)1

(

1

)

(

)

(

M

n

x

n

x

b

M

n

x

n

x

b

M

n

x

b

M

n

x

b

n

x

b

n

x

b

n

y

(2-8)该因果系统具有严格的线性相位当M 为偶数时,有

)

(

)

(

)

(

k

n

x

k

h

n

y

M

k

-

=∑

=

(2-9)其中:

M:FIR滤波器的抽头数;

h(k):第k级抽头系数(单位脉冲响应);

x(n-k):延时k个抽头的输入信号。

图2.4 M 为偶数时线性相位型滤波器结构

∑∑∑∑-=-=+=-=+--+-+-=-+-+-=

12012

0121

2/0)()()2()2(

)()()()()2()2()()()(M k M k M M k M k k M n x k M h M n x M h k n x k h k n x k h M n x M h k n x k h n y (2-10) )2()2(

)]()([)()(12

0M n x M h k M n x k n x k h n y M k -++-+-=∑-= (2-11) 网络结构如图 2.3 同理,当 M 为奇数时,有 ∑-=+-+-=2

)1(0

)]()()[()(M k k M n x k n x k h n y (2-12)

图2.5 M 为奇数时线性相位型滤波器结构

2.3.4 频率采样型

系统函数H(z)可以由单位圆上的样本得到重建,样本为M 点脉冲响应h(n)的M 点DFT{H(k),0≤k ≤M-1}。

∑-=-----===1011)()1()}]

({[)]([)(M k z

W k M k H M z M k H IDFT Z n h Z z H (2-13)

图2.6 频率采样型FIR 结构图

2.4 FIR 数字滤波器的设计方法

FIR 滤波器设计方法是以直接逼近所需离散时间系统的频率响应为基础的。设计方法包括窗函数法和最优化方法(等同纹波法),其中窗函数法是设计FIR 滤波器的最常用的方法之一。

2.4.1 窗函数设计法

窗函数法的基本思想是,由于滤波器的时间响应函数h d (n)是无限长的,不能用FIR

滤波器实现,所以,要截取h d (n)幅度较大的部分,舍弃h d (n)幅度较小部分来近似表示

h d (n)。截取的方法是选用 某一种窗函数和截取h d (n)的一段进行卷积以得到实际滤波

器的相应h(n )。窗函数不同,窗口宽度不同,实际频响会有较大区别。如加海明窗的频响曲线的通带和阻带特性比矩性窗好;窗口宽度N 的阶数高,也能提高滤波器频响性能,但实时信号处理 的时间会相应增加。因此,应根据滤波参数要求确定选用窗函数的类型和阶数。

设计FIR 数字数字滤波器的最简单的方法是窗函数,通常也称之为傅立叶级数法。FIR 设计思想是:一般是先给定所要求的理想滤波器频率响应()j d H e ω,然后由()j d H e ω导

出与其相对应的单位样值响应d h n (),由于j d e H ω()

是矩形频率特性,故d h n ()一定是无限长序列,是非因果的,而所要设计的FIR 滤波器单位样值响应h(n)必然是有限长的所以要用一有限长的h(n)来逼近无限长的d h n ()

。最有效的方法即是用窗函数(有限长)W(n)来截断d h n ()

。 具体设计步骤如下:

a)给定所要求的理想的频率响应函数j d

H e ω();

b)求其傅立叶反变换,即

d

h(n)=IDTFT[]

j

d

H eω

()(2-14)

c)根据允许的过渡带宽及阻带最小衰减的要求,可选定窗函数W(n)的形状及滤波器长度N,一般的N要通过多次试探后才能确定;

d)求得所设计的FIR滤波器的单位样值响应

h(n)=

d

h(n)=h n(),n01......,N-1

w n

?

()=,,(2-15)

e)计算出h n

j

H eω

()=DTFT[()],检测是否满足设计要求;总的系统函数为:

)

(

)

(

)

(

)

(

2

2

1

1

z

H

G

z

H

G

z

H

G

z

H

N

N

+

+

+

= (2-16) 其中,H N为第N个频段的滤波器系统函数,

12

012

12

12

()

1

b b z b z

H z

a z a z

--

--

++

=

++(2-17)

图2.7 截止频率为

c

ω的理想低通数字滤波器幅度频率特性

对实际FIR 滤波器()H ω有影响的只是窗函数的幅度频率特性()R W ω。实际中的FIR 滤波器的频率特性是理想低通滤波器的幅度频率特性和窗函数的幅度频率特性的复卷积。复卷积给()H ω带来过冲积波,所以加窗函数后,对滤波器的理想特性的影响有以下几点:

a)H d (ω)在截止频率的间断点变成了连续的曲线,使得H(ω)出现了一个过渡带,它的宽度等于窗函数的主瓣的宽度。由此可见,如果窗函数的主瓣越宽,过渡带就越宽。

b)由于窗函数旁瓣的影响,使得滤波器的幅度频率特性出现了波动,波动的幅度取决于旁瓣的相对幅度。旁瓣范围的面积越大,通带波动和阻带的波动就越大,换句话说,阻带的衰减减小。而波动的多少,取决于旁瓣的多少。

c)增加窗函数的长度,只能减少窗函数的幅度频率特性的主瓣宽度,而不能减少主瓣和旁瓣的相对值,该值取决于窗函数的形状。换句话说,增加截取函数的长度N 只能相应的减小过渡带,而不能改变滤波器的波动程度。为了满足工程上的需要,可以通过改变窗函数的形状来改善滤波器的频率特性,而窗函数的选择原则是:

1)具有较低的旁瓣幅度,尤其是第一旁瓣的幅度。

2)旁瓣的幅度下降的速率要快,以利于增加阻带的衰减。

3)主瓣的宽度要窄,这样可以得到比较窄的过渡带。

通常上述的几点难以同时满足。当选用主瓣宽度较窄时,虽然能够得到比较陡峭的幅度频率响应,但是通带和阻带的波动明显增加;当选用比较小的旁瓣幅度时,虽然能够得到比较平坦和匀滑的幅度频率响应,但是过渡带将加宽。因此,实际中选用的窗函数往往是它们的折中。在保证主瓣的宽度达到一定要求的条件下,适当地牺牲主瓣的宽度来换取旁瓣的波动减小。以上是从幅度频率特性设计方面对窗函数提出的要求,实际中设计FIR 滤波器往往要求是线性相位的,因此要求()n ω满足线性相位的条件求()n ω满足:

()(1)W n W N n =-- (2-18)

综上所述,用窗函数设计FIR 滤波器还有不尽人意之处,例如存在主瓣宽度与旁瓣波动等的矛盾。

设计FIR 滤波器常用的窗函数有:矩形窗函数、三角(Bartlett)窗函数、汉宁(Hanning)窗函数、海明(Hamming)窗函数、布莱克曼(Blackman)窗函数和凯塞(Kaiser)窗函数,具体性能指标可参看表3-1。

表3-1 常用窗函数性能小结

2.4.2 等同波纹设计方法

窗函数法存在某些缺陷。首先,在设计中不能精确地给定边缘频率,这意味着,在设计完成之后无论得到什么都必须接受;其次,不能够同时标定波纹因子,在窗函数设计法中只能设定两种边缘因子相等;还有一个缺陷是近似误差在频带区间上不是均匀分布的,在靠近频带边缘误差愈大,远离频带边缘误差愈小。一种非常有效地解决这种问题的FIR滤波器就是等同波纹FIR滤波器。对于线性相位的FIR滤波器来说,有可能导得一组条件,对这组条件能够证明,在最大近似误差最小化的意义下,这个设计是最优的。具有这种性质的滤波器就称为等同波纹滤波器,因为近似误差在通带和阻带上都是均匀分布的。

等同波纹法是采用Parks-McClellan迭代方法来实现的。与直接频率法相比,等同波纹设计方法的优点在于通频带和抑制带偏差可以分别指定,且实现相同指标的滤波器时所用的滤波器阶数较小。

2.5 滤波器的性能要求

数字滤波器的特性经常在频域定义,对于选择性的滤波器,如低通滤波器和带通滤波器,性能规范经常以容差图的形式出现,如图。由该图可知,滤波器的基本技术指标包括5个参数:通带截止频率

p

f,阻带截止频率

s

f关,通带容限

p

δ。阻带容限

s

δ。以及

滤波器阶数N o他们与通带波纹

p

a和阻带衰减

s

a之间的关系是:

图2.8 FIR滤波器指标要求

)

1

1

lg(

20

s

p

p

a

δ

δ

-

+

-

=(2-19)

)

1

lg(

20

p

s

s

a

δ

δ

+

-

=(2-20)

如何确定这些性能参数呢?滤波系统中的抗混叠滤波应该对奈奎斯特频率以上的频率分量提供足够的衰减。在确定混叠滤波器时,应该考虑ADC分辨率的要求。因此,滤波器应该设计成奈奎斯特频率以上的频率分量衰减到ADC检测不到的电平。于是,对于采用B位的线性ADC系统,其滤波器的阻带最小衰减通常应该是

)

2

5.1

l o g(

20B

s

a?

=(2-21)

其中B是ADC的位数,对于8位ADC可计算出a为50dB。

3 基于VHDL的FIR滤波器设计

3.1 VHDL语言及开发环境

随着半导体技术的迅速发展,在现代数字系统设计中,现场可编程器件(FPGA和CPLD)的使用越来越广泛。与此同时,基于大规模可编程逻辑器件的EDA(电子设计自动化)硬件解决方案也被广泛采用。对于复杂的系统设计,必须依靠一种高层的逻辑输入方式来避免开发中的错误和缩短开发周期。这样就产生了硬件描述语言HDL (Hardware Description Language),其中符合IEEE-1076标准的VHDL的应用成为新一代EDA解决方案中的首选。因此,VHDL的应用必将成为当前以及未来EDA解决方案的核心,更是整个电子逻辑系统设计的核心。现在,VHDL作为IEEE的工业标准硬件描述语言,得到了众多EDA公司的支持,在电子工程领域,己成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL语言将承担起大部分的数字系统设计任务。VHDL主要用于描述数字系统的结构、行为、功能和接口,除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法和一般的计算机高级语言十分相似。一个完整的VHDL语言程序通常包含实体(Entity)、结构体(Architecture)、配置(Configuration)、包集合(Package)和库(Library)五个部分。

应用VHDL进行工程设计的优点是多方面的:

1、与其他语言相比,VHDL具有强大的行为描述能力,在EDA工具和VHDL综合器的支持下,可以避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统。

2、VHDL中丰富的仿真语句和库函数,使得在任何大系统的设计早期(设计尚未完成),就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

3、VHDL语句的行为描述能力和程序结构决定了它具有支持大规模设计的分解和己有设计的再利用功能。

4、对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动地把VHDL描述设计转变为门级网表(根据不同的实现芯片)。这种方式突破了门级设计的瓶颈,极大地减少了电路设计的时间和可能发生的错误,降低了开发成本。应用EDA工具的逻辑优化功能,可以自动地的把一个综合后的设计变成一个更小、更

高速的电路系统。反过来,设计者还可以容易地从综合和优化后的电路获得设计信息,返回去更新VHDL的设计描述,使之更为完善。

5、VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管最终设计实现的目标器件是什么,而进行独立的设计。

6、由于VHDL具有类属描述语句和子程序调用等功能,对于己完成的设计,在不改变源程序的条件下,只需改变类属参量或函数,就能轻易的改变设计的规模和结构。可以预计,随着VHDL-93标准的广泛应用以及可编程逻辑器件的不断推出,VHDL必将在未来的EDA解决方案中发挥不可替代的作用。

可以利用EDA工具对适配生成的结果进行模拟测试,就是所谓的仿真。仿真就是让计算机根据一定的算法和一定的仿真库对EDA设计进行模拟,以验证设计,排除错误。时序仿真和功能仿真工具通常由FPGA/CPLD公司的EDA开发工具直接提供,也可以选用第三方的专业仿真工具,它们可以完成两种不同级别的仿真测试。功能仿真直接对VHDL、原理图描述或其他描述形式的逻辑功能进行模拟测试,以了解其实现的功能是否满足原设计的要求。功能仿真过程不涉及任何具体器件的硬件特性,不经历综合与适配阶段,在设计项目编辑编译后即可进入门级仿真器进行模拟测试。直接进行功能仿真的好处是设计耗时短,对硬件库、综合器等没有任何要求。对于规模比较大的设计项目,综合与适配在计算机上的耗时是十分可观的,如果每一次修改后的模拟都必须进行时序仿真,显然会降低开发效率。因此,通常的做法是首先进行功能仿真,待确定设计文件所表达的功能满足设计者原有意图,即逻辑功能满足要求后,再进行综合、适配和时序仿真,以便把握设计项目在硬件条件下的运行情况。时序仿真是接近真实器件运行特性的仿真,仿真文件中己包含了器件硬件特性参数,仿真精度高。

3.2 FIR滤波器设计流程

FIR滤波器的设计流程包括以下几个方面:

1.设计规范

设计规范包括滤波器的类型、阶数、滤波器的设计方法,选定设计方法后对应的参数、采样频率、截止频率等。

2.滤波器系数的计算

利用MATLAB软件。通过设置上面的参数后可以简单地计算出滤波器的参数,之后再对计算出的参数进行量化就可以得到一系列的整数,这样就可以在FPGA中使用

了。

3.软件的仿真和运行

通过常用的如原理图或者硬件描述语言等方面描述出滤波器的原型,验证则是把前面实现出来的原型输入到Max+plusII里面,通过实际电路来观察设计是否正确,如果不正确,要返回上面的步骤重新开始设计。

4.设计优化

当第3步实现和验证正确后可以根据实际情况对设计进行优化,优化完后通过验证,如果结果符合实际的要求,设计完成,如果不正确,则要返回上面的步骤重新开始,直至正确为止。

FIR数字滤波器的设计流程如图所示。

图3.1 FIR滤波器设计流程

3.3 FIR滤波器的设计要求

数字滤波器的设计步骤为先根据需要确定其性能指标,设计一个系统传递函数H(z)逼近所需要的技术指标,最后采用有限精度算法实现。本设计是基于VHDL语言实现压电直线微电机控制系统FIR低通数字滤波器的设计,首先将模拟信号转化为数字信号,再将此数字信号通过一定技术指标的FIR低通滤波器滤波。设计一个满足压电直线微电机控制系统的FIR低通数字滤波器,其主要技术性能指标如下:(1)对模拟信号的采样频率fs为44.1KHz;(2)带通0--20kHz;(3)信号的截止频率fc=22kHz;(4)截止频带衰减约为60dB。

3.4 基于乘累加结构FIR滤波器设计的总体结构和设计思路

本设计的总体结构框图如图3.2所示。

图3.2 滤波器的总体结构框图

各模块的主要功能如下:(1)移位寄存器:移位寄存器的功能是将输入序列x(n)通过移位寄存器改为并行输入的功能。(2)优化模块:优化模块的功能是根据FIR滤波器h (n)的对称特性将滤波器降阶,完成输入求和x(k)+ x(N-1-k),对于本设计中的16抽头的滤波器,即完成x(0)+ x(15), x(2)+ x(14) ...... (3)乘法器模块:对于使用乘法器结构的FIR 滤波器,乘法器的实现对于系统的性能有很大的影响。(4)加法器:将前面乘法器模块得到的结果相加,最后得到输出结果y (n)。

直接结构实现的FIR滤波器主要由三部分组成:数据移位寄存器、乘法器和多操作数加法。对于一个N阶FIR滤波器而言,直接结构实现的FIR滤波器共需要N级数据移位寄存器、N个乘法器和N-1个加法器。按照前面滤波器的总体结构框图将该结构滤

波器分成三个典型的模块。第一个模块是移位寄存器,该模块的功能是通过移位寄存器把串行输入的采样数据转换为并行的;第二个模块是优化模块,优化模块的主要功能是根据FIR滤波器h(n)的对称性完成输入求和x(k)+x(N-1-k),从而实现对滤波器的降阶;第三个模块是将乘法器和加法器合并构成乘累加器模块,乘法器模块完成输入数据和它对应的系数的乘法运算,而加法器模块完成将之前乘法器模块的输出的结果相加,加法器模块对乘法器模块的输出的求和运算是通过一个计数器控制完成的,每完成一次乘累加操作后把结果输出,该结果就是滤波器的滤波输出。每完成一次乘累加操作共需N/2个时钟周期,在第(N/2+1)个时钟周期时把乘累加的结果输出,因此完成一次滤波输出共需要((N/2+1)个时钟周期。因为乘法器的输入数据在不同的计数时钟下对应的系数不同,因此对于乘法器的设计采用了一个通用的乘法器。为了提高系统的性能,通过采用加法器树乘法器。通过一个共完成N/2次计数的计数器来控制乘累加操作,当计数值为对应的数值时完成对应的输入信号与系数的乘积,并与之前和乘积相加,最后再重新计数开始,也就是在计数值为0时把乘累加的结果输出,从而完成一次滤波输出。

3.5 滤波器的设计原理及硬件电路构成

一个FIR滤波器的输出是由其过去和现在输入值权重所决定的,且构成因果关系的FIR滤波器对所有的离散时间k < 0,以及时间k > N(N是正整数),其脉冲响应均为零,FIR滤波器的数学表达式可用差分方程来表示:

∑=-

=

M

r

r

n

x

r

b

n

y

)

(

)

(

)

(

(3-1)

其中: r 是FIR的滤波器的抽头数;b(r)是第r级抽头数(单位脉冲响应);x(n-r)是延时r个抽头的输入信号。

该方程可由图1给出的硬件电路实现,其中,D-IN为数字信号输入;CLOCK为时钟信号;C1—Cn是由MA TLAB处理得到的系数;D触发器实现数据延时与触发功能。结构中延时支路相互串联, 延时线上有N个抽头,分别连接乘法器, 乘法器相乘的系数就是单位脉冲响应,乘法器的输出连接到加法器上。为使输出波形稳定,采用同步输出方式。

通信原理课程项目报告 匹配滤波器

上海大学2012~2013学年春季学期本科生 课程项目报告 课程名称:《通信原理B(2)》课程编号: 07275129 题目: 匹配滤波器分析 学生姓名: 王子驰(组长)学号: 10124021 学生姓名: 蒋子昂学号: 10124022 学生姓名: 徐璐学号: 10124040 学生姓名: 陈张婳学号: 10123773 学生姓名: 张晨学号: 10123743 评语: 成绩: 任课教师: 评阅日期:

匹配滤波器分析 日期(2013年5月1日) 摘要:在最佳线性滤波器的设计中有一种是使滤波器输出信噪比在某一特定时刻达到最大,由此而导 出的最佳线性滤波器称为匹配滤波器。匹配滤波器对信号做的两种处理:1、去掉信号相频函数中的任 何非线性部分;2、按照信号的幅频特性对输入波形进行加权,即当信号与噪声同时进入滤波器时,它 使信号成分在某一瞬间出现尖峰值,而噪声成分受到抑制。本文介绍了匹配滤波器的原理,利用MATLAB 软件,设计了一种匹配滤波器,并对其在二进制确知信号最佳接收中的应用进行了分析。 1.引言 在数字通信系统中,信道的传输特性和传输过程中噪声的存在是影响通信性能的两个主要因素。人们总是希望在一定的传输条件下,达到最好的传输性能,最佳接收就是在噪声干扰中如何有效地检测出信号。所谓最佳是在某种标准下系统性能达到最佳,最佳接收是个相对的概念,在某种准则下的最佳系统,在另外一种准则下就不一定是最佳的。在某些特定条件下,几种最佳准则也可能是等价的。在数字通信中,最常采用的是输出信噪比最大准则和差错概率最小准则。 在数字信号接收中,滤波器的作用有两个方面,第一是使滤波器输出有用信号成分尽可能强; 第二是抑制信号带外噪声,使滤波器输出噪声成分尽可能小,减小噪声对信号判决的影响。 通常对最佳线性滤波器的设计有两种准则:一种是使滤波器输出的信号波形与发送信号波形之 间的均方误差最小,由此而导出的最佳线性滤波器称为维纳滤波器;另一种是使滤波器输出信噪比 在某一特定时刻达到最大,由此而导出的最佳线性滤波器称为匹配滤波器。在数字通信中,匹配滤 波器具有更广泛的应用。 2.课程项目的目的 (1)掌握匹配滤波器的基本概念、基本原理和基本设计方法; (2)具备对简单通信系统进行建立模型、定性分析、定量计算的能力; (3)对实验过程中存在的问题能够进行分析和排除; (4)对规定任务有一定的创新能力。 3.基本原理介绍 由数字信号的判决原理我们知道,抽样判决器输出数据正确与否,与滤波器输出信号波形和发 送信号波形之间的相似程度无关,也即与滤波器输出信号波形的失真程度无关,而只取决于抽样时 刻信号的瞬时功率与噪声平均功率之比,即信噪比。信噪比越大,错误判决的概率就越小;反之,Array 信噪比越小,错误判决概率就越大。

有限冲击响应FIR滤波器的设计word文档

一设计题目有限冲击响应FIR 滤波器的设计 二设计目的 1掌握数字滤波器的设计过程; 2了解FIR 的原理和特性; 3熟悉设计FIR 数字滤波器的原理和方法; 4 学习FIR 滤波器的DSP 实现原理; 5 学习使用ccs 的波形观察窗口观察输入、输出信号波形和频谱变化情况。 三设计内容 1 通过MATLAB 来设计一个低通滤波器,对它进行模拟仿真确定FIR 滤波器系数; 2 用DSP 汇编语言及C 语言进行编程,实现FIR 运算,对产生的合成信号,滤除信号中高频成分,观察滤波前后的波型变化. 四设计原理 滤波器就是在时间域或频域内,对已知激励产生规定响应的网络.使其能够从信号中提取有用的信号,抑制并衰减不需要的信号,滤波器的设计实质上就是对提出的要求给出相应的性能指标.再通过计算,使物理可实现的实际滤波器响应特性逼近给出的频率响应特性。 FIR 数字滤波器是一种非递归系统,其传递函数为: H (z) =Y(Z)/X(Z)=∑b(n)z-n 由此可得到系统的差分方程为: y(n ) = ∑h ( i ) x ( n -i) 其激响应h(n)是有限长序列,它其实就是滤波器系数向量b ( n ) , N 为FIR 滤波器的阶数. 在数字信号处理应用中往往需要设计线性相位的滤波器,FIR 滤波器在保证幅度特性满足技术要求的同时,易做到严格的线性相位特性。为了使滤波器满足线性相位条件,要求其单位脉冲响应h(n)为实序列,且满足偶对称或奇对称条

件,即h ( n )=h(N-1-n)或h(n)=h(N-1-n)。这样,当N 为偶数时,偶对称线性相位F 讯滤波器的差分方程表达式为

实验6 无限冲激响应数字滤波器设计

实验6无限冲激响应数字滤波器设计 实验目的: 掌握双线性变换法及脉冲相应不变法设计IIR数字滤波器的具体设计方法及其原理,熟悉用双线性变换法及脉冲响应不变法设计低通、高通和带通IIR数字滤波器的计算机编程。 实验原理: 在MATLAB中,可以用下列函数辅助设计IIR数字滤波器:1)利用buttord 和cheblord可以确定低通原型巴特沃斯和切比雪夫滤波器的阶数和截止频率; 2)[num,den]=butter(N,Wn)(巴特沃斯)和[num,den]=cheby1(N,Wn),[num,den]=cheby2(N,Wn)(切比雪夫1型和2型)可以进行滤波器的设计;3)lp2hp,lp2bp,lp2bs可以完成低通滤波器到高通、带通、带阻滤波器的转换;4)使用bilinear可以对模拟滤波器进行双线性变换,求得数字滤波器的传输函数系数;5)利用impinvar可以完成脉冲响应不变法的模拟滤波器到数字滤波器的转换。 例3-1 设采样周期T=250μs(采样频率fs =4kHz),用脉冲响应不变法和双线性变换法设计一个三阶巴特沃兹滤波器,其3dB边界频率为fc =1kHz。 [B,A]=butter(3,2*pi*1000,'s'); [num1,den1]=impinvar(B,A,4000); [h1,w]=freqz(num1,den1); [B,A]=butter(3,2/0.00025,'s');

[num2,den2]=bilinear(B,A,4000); [h2,w]=freqz(num2,den2); f=w/pi*2000; plot(f,abs(h1),'-.',f,abs(h2),'-'); grid; xlabel('频率/Hz ') ylabel('幅值/dB') 程序中第一个butter的边界频率2π×1000,为脉冲响应不变法原型低通滤波器的边界频率;第二个butter的边界频率2/T=2/0.00025,为双线性变换法原型低通滤波器的边界频率.图1给出了这两种设计方法所得到的频响,虚线为脉冲响应不变法的结果;实线为双线性变换法的结果。脉冲响应不变法由于混叠效应,使得过渡带和阻带的衰减特性变差,并且不存在传输零点。同时,也看到双线性变换法,在z=-1即Ω=π或f=2000Hz处有一个三阶传输零点,这个三阶零点正是模拟滤波器在ω=∞处的三阶传输零点通过映射形成的。 例2 设计一数字高通滤波器,它的通带为400~500Hz,通带内容许有0.5dB的波动,阻带内衰减在小于317Hz的频带内至少为19dB,采样频率为1,000Hz。 wc=2*1000*tan(2*pi*400/(2*1000)); wt=2*1000*tan(2*pi*317/(2*1000)); [N,wn]=cheb1ord(wc,wt,0.5,19,'s'); [B,A]=cheby1(N,0.5,wn,'high','s'); [num,den]=bilinear(B,A,1000); [h,w]=freqz(num,den); f=w/pi*500; plot(f,20*log10(abs(h))); axis([0,500,-80,10]); grid; xlabel('') ylabel('幅度/dB')

基于HFSS带通滤波器设计文献综述

2012 届本科毕业设计(论文)文献综述 题目基于HFSS的带通滤波器设计 学院物理与电子工程学院 年级08 专业电子信息工程 班级 2 学号160408220 姓名刘建 指导教师施阳职称

基于HFSS 带通滤波器设计文献综述 1引言 我们知道,当一定复杂程度的信号通过几乎任何电子系统时,它都需要经过某种滤波电路进行滤波。一般在一个实际应用的电子系统中,因输入信号往往因受干扰等原因而带有其它一些不需要的频率信号,就必须使用滤波电路将它衰减到足够小的程度。滤波电路是一种可通过或阻止某种频率信号的电路,其功能就是让指定频段的信号能顺利地通过,而对其它频段的信号起到衰减作用。它分为两种:无源和有源滤波电路。无源滤波电路是由无源器件(电阻、电容和电感)组成,性能较差有源滤波电路是由集成运算放大器和RC 等网络构成,具有几个主要优点:体积小,重量轻;电路的输入和输出之间具有良好的隔离;除了起滤波作用外,还可放大输入信号,且容易调节放大倍数等。BPF 主要用来截取突出有用频段的信号,削弱其余频段的信号或干扰和噪声,以提高信噪比。 2 设计原理 2.1 带通滤波器工作原理及HFSS 简介 带通滤波器中作原理 一个理想的滤波器应该有一个完全平坦的通带,例如在通带内没有增益或者衰减,并且在通带之外所有频率都被完全衰减掉,另外,通带外的转换在极小的频率范围完成。实际上,并不存在理想的带通滤波器。滤波器并不能够将期望频率范围外的所有频率完全衰减掉,尤其是在所要的通带外还有一个被衰减但是没有被隔离的范围。这通常称为滤波器的滚降现象,并且使用每十倍频的衰减幅度dB 来表示。通常,滤波器的设计尽量保证滚降范围越窄越好,这样滤波器的性能就与设计更加接近。然而,随着滚降范围越来越小,通带就变得不再平坦—开始出现“波纹”。这种现象在通带的边缘处尤其明显,这种效应称为吉布斯现象。在频带较低的剪切频率1f 和较高的剪切频率2f 之间是共振频率,这里滤波器的增益最大,滤波器的带宽就是2f 和1f 之间的差值。 HFSS 简介 HFSS 是ANSOF T 公司开发的一个基于物理原型的EDA 设计软件. 使用HFSS 建立结构模进行3D 全波场分析,可以计算.①基本电磁场数值解和开边界问题,近远场辐射问题; ②端口特征阻抗和传输常数; ③ S 参数和相应端口阻抗的归一化S 参数; ④结构的本征模或谐振解.依靠其对电磁场精确分析的性能,使用户能够方便快速地建立产品虚拟样机.

无限长单位脉冲响应滤波器设计剖析

实验四无限长单位脉冲响应滤波器设计 一、实验目的 1.掌握双线性变换法及脉冲响应不变法设计IIR数字滤波器的具体设计方法及其原理,熟悉用双线性变换法及脉冲响应不变法设计低通、高通和带通IIR数字滤波器的计算机编程。 2.观察双线性变换及脉冲响应不变法设计的滤波器的频率特性,了解双线性变换法及脉冲响应不变法的特点。 3.熟悉巴特沃思滤波器、切比雪夫滤波器和椭圆滤波器的频率特性。 二、实验原理 (1)实验中有关变量的定义:fc通带边界频率,fr阻带边界频率,tao通带波动,at 最小阻带衰减,fs采样频率,t采样周期。 (2)设计一个数字滤波器一般包括以下两步: a.按照任务要求,确定滤波器性能指标 b.用一个因果稳定的离散时不变系统的系统函数去逼近这一性能要求 (3)数字滤波器的实现:对于IIR滤波器,其逼近问题就是寻找滤波器的各项系数,使其系统函数逼近一个所要求的特性。先设计一个合适的模拟滤波器,然后变换成满足约定指标的数字滤波器。 用双线形变换法设计IIR数字滤波器的过程: a.将设计性能指标中的关键频率点进行“预畸” b.利用“预畸”得到的频率点设计一个模拟滤波器。 c.双线形变换,确定系统函数 三、实验内容 1、设计一切比雪夫高通滤波器,性能指标如下:通带边界频率f c=0.4kHz,通带波动δ=0.5dB,阻带边界频率f r=0.3kHz,阻带最小衰减At=20dB,采样频率f s=1000Hz,观察其通带波动和阻带衰减是否满足要求。(绘制对数幅度谱) 2、设计一巴特沃思低通滤波器,性能指标如下:通带边界频率f c=0.4kHz,通带波动δ=1dB,阻带边界频率f r=0.6kHz,阻带最小衰减At=40dB,采样频率f s=2000Hz,分别用脉冲响应不变法和双线性变换法进行设计,比较两种方法的优缺点。(绘制线性幅度谱) 3、用双线性变换法设计巴特沃思、切比雪夫和椭圆低通滤波器,其性能指标如下:通带边界频率f c=1.8kHz,通带波动δ≤1dB,阻带边界频率f r=2.6kHz,阻带最小衰减A t≥50dB,采样频率f s=8kHz。(绘制对数幅度谱) 4、设计一巴特沃思带通滤波器,性能指标如下:通带频率3kH z≤f≤4kHz,通带波动δ≤1dB;上阻带f≥5kHz,阻带最小衰减At≥15dB;下阻带f≤2kHz,阻带最小衰减At≥20dB;采样频率f s=20kHz,分别用脉冲响应不变法和双线性变换法进行设计。(绘制线性幅度谱) 5、用双线性变换法设计一椭圆带阻滤波器,性能指标如下:阻带频率2kH z≤f≤3kHz,

通信原理报告 数字基带信号利用匹配滤波器的最佳接收模型设计

通信原理课程设计报告 题目:数字基带信号利用匹配滤波器的最佳接收模型设计 专业班级: 姓名: 学号: 指导教师:

摘要 (1) 关键词 (1) 课程设计要求 (1) 正文 (2) 1.概述 (2) 2.1设计原理 (2) 2.2.1硬件框图 (4) 2.2.2Simulink平台模块 (5) 2.3.1设计过程 (5) 2.3.2高斯白噪声发生器 (5) 2.3.3积分器 (6) 2.3.4抽样判决器 (7) 3.1数据 (7) 3.2结果分析 (9) 4.结论 (10) 【摘要】 匹配滤波器能将调制过的信号还原成原来的样子,而最佳接收机则是指在输入信号存在白噪声的情况下,将信号还原的同时还能优化处理成最准确的信号的接收系统。通常在判别一个系统的优劣时,误码率是个好判断标准。本次课程设计也将误码率作为一个重要的分析系统优劣的标准,设计一个误码率最小的接收系统。 【关键词】MATLAB simulink仿真平台匹配滤波器最佳接收机 【课程设计要求】仿真实现数字基带信号利用匹配滤波器的最佳接收机模型。接收信号为高斯白噪声的二进制数字序列x(t),其码型为双极性不归零码,利用匹配滤波器的最佳接收过程的时域图及频谱图,以及对所设计的系统性能进行分析。实现该最佳接收模型和非最佳接收机模型的区别和性能比较。

1.概述 首先从匹配滤波器的定义:输出信噪比Ps/Pn最大的线性滤波器称为匹配滤波器来看。它的优秀性能使它成为一种非常重要的滤波器,广泛应用与通信、雷达相关的系统中。从相频特性上看,匹配滤波器的输入信号与相频特性是刚好完全相反的。这种情况下,信号通过匹配滤波器后,其相位为0,恰好能使信号时域出现相干叠加的结果。反观噪声的相位是随机的,所以噪声只会出现非相干叠加的结果。也就是说时域上的信噪比最大的问题解决了。从幅频特性来看,输入信号与匹配滤波器的幅频需要一致。也就是说,只要在信号频率越强的点,滤波器的放大倍数也会变得越大;在信号频率越弱的点,滤波器的放大倍数也相应的变得越小。换言之,这种特性使得匹配滤波器让信号尽可能通过,而不太会收噪声影响。在信号输入匹配滤波器之前出现的高斯白噪声的功率谱是相对平坦的,在各个频率点也是差不多的。因此,这种情况下,信号能够尽可能的通过,而噪声则被尽可能的减弱。在解决这两方面的问题后匹配滤波器还不够完美,需要进行最佳接收机准则检验。但这就需要另外的一些设计。 2.1设计原理 有y: y(t)=s(t)+n(t)。当发出信号为si(t)时,其概率密度函数为: 按照某种准则,可以对y(t)作出判决,使判决空间中可能出现的状态r1, r2, …, rm与信号空间中的各状态s1, s2, …, sm相对应。

有限冲激响应数字滤波器设计实验报告

/ 实验6 有限冲激响应数字滤波器设计 一、实验目的: 1、加深对数字滤波器的常用指标理解。 2、学习数字滤波器的设计方法。 二、实验原理: 低通滤波器的常用指标: } (1)通带边缘频率; (2)阻带边缘频率; (3)通带起伏;

(4)通带峰值起伏, (5)阻带起伏,最小阻带衰减。 三、实验内容: 利用MATLAB编程,用窗函数法设计FIR数字滤波器,指标要求如下: 通带边缘频率:,通带峰值起伏:。] 阻带边缘频率:,最小阻带衰减:。 采用汉宁窗函数法的程序: wp1=*pi;wp2=*pi; ws1=*pi;ws2=*pi; width1=wp1-ws1; width2=ws2-wp2; width=min(width1,width2) N1=ceil(8*pi/width) … b1=fir1(N1,[ ],hanning(N1+1)); [h1,f]=freqz(b1,1,512); plot(f/pi,20*log10(abs(h1)),'-') grid; 图形:

采用切比雪夫窗函数法德程序: 】 wp1=*pi;wp2=*pi; ws1=*pi;ws2=*pi; width1=wp1-ws1; width2=ws2-wp2; width=min(width1,width2) N1=ceil(8*pi/width) b1=fir1(N1,[ ],chebwin(N1+1,20)); [h1,f]=freqz(b1,1,512); … plot(f/pi,20*log10(abs(h1)),'-') grid; 图形:

四.小结 FIR和IIR滤波器各自的特点: ①结构上看,IIR滤波器必须采用递归结构,极点位置必须在单位圆内,否则系统将不稳定,IIR滤波器脱离不了模拟滤波器的格局,FIR滤波器更灵活,尤其能使适应某些特殊的应用。设计选择:在对相位要求不敏感的场合,用IIR较为适合,而对图像处理等对线性要求较高,采用FIR滤波器较好。 ②性能上说,IIR滤波器传输函数的几点可位于单位圆内的任何地方,可以用较低的结束获得较高的选择性,但是是相位的非线性为代价,FIR滤波器却可以得到严格的线性相位,然而FIR滤波器传输函数的极点固定在原点,只能用较高的阶数达到的选择性。

实验-3-无限冲激响应滤波器(IIR)算法实验

实验3 :无限冲激响应滤波器(IIR)算法实验 一、实验目的 1. 熟悉IIR 数字滤波器特性; 2.掌握IIR数字滤波器的设计过程; 3.掌握IIR 数字滤波器性能测试方法。 二、实验设备 1.PC 兼容机 2.WIN7 操作系统 3.Code Composer Studio v5 三、实验内容 1.掌握IIR数字滤波器的基础理论; 2.基于MATLAB的IIR数字滤波器参数确定方法; 3.采用C语言编程实现低通IIR 滤波器; 4.掌握基于CCS的波形观察方法;观察滤波前后的波形变化。 四.实验原理分析 要求:使用低通巴特沃斯滤波器,设计通带截止频率f p为1kHz、增益为-3dB,阻带截止频率f st为12kHz、衰减为30dB,采样频率f s为25kHz。设计: 通带截止频率为:f p = 1000Hz,f st = 12000Hz

(一)、滤波器参数计算 ●模拟预畸变通带截止频率为:w p = 2f s tan(2πf p/(2f s)) = 6316.5 弧度/秒●模拟预畸变阻带截止频率为:w st = 2f s tan(2πf st/(2f s)) = 794727.2 弧度/秒 由式(7.5.24) ●N = 0.714,则:一阶巴特沃斯滤波器就足以满足要求。 一阶模拟巴特沃斯滤波器的传输函数为:H(s)=w p/(s+w p)=6316.5/(s+6316.5) 由双线性变换定义s=2f s(z-1)/(z+1)得到数字滤波器的传输函数为: 因此,差分方程为:y[n]=0.7757y[n-1]+0.1122x[n]+0.1122x[n-1]。 (二)、基于MATLAB的滤波器参数求解 (1)IIR数字滤波器阶次的选择的MATLAB函数 [N,w c] = buttord(w p,w st,Rp,As); [N,w c] = cheb1ord(w p,w st,Rp,As); [N,w c] = cheb2ord(w p,w st,Rp,As); [N,w c] = ellipord(w p,w st,Rp,As); 对低通滤波器,必须有w p < w st 对高通滤波器,必须有w p > w st 对带通滤波器,必须有w s1 < w p1 < w p2 < w s2 对带阻滤波器,必须有w p1 < w s1 < w s2 < w p2

数字匹配滤波器的优化设计与FPGA实现

●主题论文 1 引言 在通信系统中,匹配滤波器的应用十分广泛,尤 其在扩频通信如在CDMA系统中,用于伪随机序列(通常是m序列)的同步捕获。 匹配滤波器是扩频通信中的关键部件,它的性能直接影响到通信的质量。本文从数字匹配滤波器的理论及结构出发,讨论了它在数字通信直扩系统中的应用,并对其基于FPGA的具体实现进行了优化。 2 数字匹配滤波捕获技术 在直接序列扩频解扩系统中,数字匹配滤波器 的捕获是以接收端扩频码序列作为数字FIR滤波器的抽头系数,对接收到的信号进行相关滤波,滤波输出结果进入门限判决器进行门限判决,如果超过 设定门限,表明此刻本地序列码的相位与接收扩频序列码的相位达到同步。如果并未超过设定门限,则表明此刻本地序列码的相位与接收到的扩频序列码的相位不同步,需要再次重复相关运算,直到同步为止,如图1所示。 数字匹配滤波器由移位寄存器、乘法器和累加器组成,这只是FIR滤波器的结构形式,只不过伪 数字匹配滤波器的优化设计与FPGA实现 (王光1,田斌1,吴勉2, 易克初1,田红心1) (1.西安电子科技大学综合业务网国家重点实验室,陕西西安710071; 2.深圳通创通信有限公司,广东深圳518001) 摘要:介绍在直接序列扩频通信中应用数字匹配滤波器实现m序列同步,分析其具体结构,详细讨论了其基于FPGA(现场可编程门阵列)的性能优化。结果表明,数字匹配滤波器用FPGA实现时,能够大大减少资源占用,并提高工作效率。关 键 词:FPGA;数字匹配滤波器;直接序列扩频 中图分类号:TN713 文献标识码:A 文章编号:1006-6977(2006)05-0070-04 Digitalmatchingfilter’soptimizationdesigning andFPGAimplementation WANGGuang1,TIANBin1, WUMian2,YIKe-chu1,TIANHong-xin1 (1.NationalKeyLaboratoryofIntegratedServicesNetworks,XidianUniversity,Xi’an710071,China; 2.ShenzhenNewComTelecommunicationsCo.,Ltd,Shenzhen518001,China) Abstract:Them-sequence’ssynchronouscapturingindirectsequencespreadspectrumsystembyus- ingdigitalmatchingfilterisdescribed,itsrealizationstructureisanalyzedanditsoptimizationimple-mentationisdiscussedindetail.Theresultshowsthattheoptimizationdigitalmatchingfiltercande-creasetheresourceoccupationgreatlyandincreaseworkingefficiency. Keywords:FPGA;digitalmatchingfilter;directsequencespreadspectrum 图1 数字匹配滤波器的结构图

有限冲击响应FIR_滤波器的设计完美版

专业班级 学号 姓名 成绩 有限冲击响应FIR 滤波器的设计 一、设计目的 1、掌握数字滤波器的设计过程; 2、了解FIR 的原理和特性; 3、熟悉设计FIR 数字滤波器的原理和方法; 4、学习FIR 滤波器的DSP 实现原理; 5、学习使用ccs 的波形观察窗口观察输入、输出信号波形和频谱变化情况。 二、设计内容 1、通过MATLAB 来设计一个低通滤波器,对它进行模拟仿真确定FIR 滤波器系数; 2、用DSP 汇编语言进行编程,实现FIR 运算,对产生的合成信号,滤除信号中高频成分,观察其滤波前后的波型变化。 三、设计原理 滤波器就是在时间域或频域内,对已知激励产生规定响应的网络。使其能够从信号中提取有用的信号,抑制并衰减不需要的信号,滤波器的设计实质上就是对提出的要求给出相应的性能指标.再通过计算,使物理可实现的实际滤波器响应特性逼近给出的频率响应特性。 FIR 数字滤波器是一种非递归系统,其传递函数为: H (z) =Y(Z)/X(Z)=∑b(n)z -n 由此可得到系统的差分方程为: ………… ……… ……… …… ……装… ……… ……… …… ………… … …订 … …… … … …… …… … …… … …… … 线 …… …… … …… … … … …… …… …

y(n ) = ∑h ( i ) x ( n -i) 其激响应h(n)是有限长序列,它其实就是滤波器系数向量b ( n ) , N 为FIR 滤波器的阶数. 在数字信号处理应用中往往需要设计线性相位的滤波器,FIR 滤波器在保证幅度特性满足技术要求的同时,易做到严格的线性相位特性。为了使滤波器满足线性相位条件,要求其单位脉冲响应h(n)为实序列,且满足偶对称或奇对称条件,即h ( n )=h(N-1-n)或h(n)=h(N-1-n)。这样,当N 为偶数时,偶对称线性相位F 讯滤波器的差分方程表达式为 Y(n)= ∑h ( i ) (x ( n -i)+x(N-1-n-i)) 由上可见,FIR 滤波器不断地对输入样本x(n)延时后,再做乘法累加算法,将滤波器结果y(n)输出。因此,FIR 实际上是一种乘法累加运算。而对于线性相位FIR 而言,利用线性相位FIR 滤波器系数的对称特性,可以采用结构精简的FIR 结构将乘法器数目减少一半。 FIR滤波器的单位脉冲h(n)是一个有限长序列。若h(n)为实数,且满足偶对称或是奇对称的条件,则FIR滤波器具有相位特性,FIR数字滤波器具有以下几个特点:FIR滤波器无反馈回路,是一种无条件稳定系统。 四、总体方案设计 1、有给定的设计参数,滤波器系数可由MATLAB中的函数产生。 2、在CCS中采用汇编源程序来实现FIR数字滤波器 步骤1):创建工程文件project/new/myproject 保存时加后缀。2):在file/new/sourcefile进行汇编语言并将其添加到工程文件。3):编译汇编链接project/build生成out文件。

基于HFSS的滤波器设计流程

滤波器设计流程: 1.确定设计指标要求 2.查阅资料,确定形状 3.建模,仿真 4.优化结果 5.版图,加工,测试 本例设计一个带通滤波器,通过微带线结构实现,工作频率覆盖。选用基板材料为Rogers 4350,其相对介电常数为,厚度为h=0.508mm,金属覆铜厚度h1=0.018mm, 表1 模型初始尺寸

设计步骤(以为例) 一开始 (一)建立工程 1.在HFSS窗口中,选择菜单File->New 2.从Project菜单中,选择Insert HFSS Design (二)设计求解模式 1.选择菜单HFSS->Solution Type 2.在Solution Type窗口,选择Driven Modal,点击OK 二建立3D模型 (一)定义单位并输入参数表 1.选择菜单Modeler->Units 2.设置模型单位:mm,点击OK 3.选择菜单栏 HFSS->Design Properties再弹出的窗口中,点ADD添加参量,将上面模型的参数表中的变量全部添加进去,如下图: (二)创建金属板R1

1.在菜单栏中点击Draw->Box,创建Box1 2.双击模型窗口左侧的Box1,改名为R1,再点击Material 后面按钮,选择Edit,选择Copper,点击确定。 3.双击左侧R1的子目录Createbox,修改金属板大小及厚度。Position输入坐标(0mm,0mm,0mm),金属板长L1=7.2mm,宽W1=0.8mm,厚h1=0.018mm。点击确定。 (三)创建金属板R1_1 1.在菜单栏中点击Draw->Box,创建Box2 2.双击模型窗口左侧的Box2,改名为R1_1,再点击Material 后面按钮,选择Edit,选择Copper,点击确定。 3.双击左侧R1_1的子目录Createbox,修改金属板大小及厚度。Position输入坐标(W1+S1,0mm,0mm),S1=,金属板长L1=7.2mm,宽W1=0.8mm,厚h1=0.018mm。点击确定。 (四)创建金属板R2 1.在菜单栏中点击Draw->Box,创建Box3 2.双击模型窗口左侧的Box3,改名为R2,再点击Material 后面按钮,选择Edit,选择Copper,点击确定。 3.双击左侧R2的子目录Createbox,修改金属板大小及厚度。Position输入坐标(W1+S1,L1,0mm),金属板长L2=7.1mm,

有限冲击响应FIR_滤波器的设计完美版

专业班级学号姓名成绩有限冲击响应FIR 滤波器的设计一、设计目的1、掌握数字滤波器的设计过程;2、了解FIR 的原理和特性;3、熟悉设计FIR 数字滤波器的原理和方法;4、学习FIR 滤波器的DSP 实现原理;5、学习使用ccs 的波形观察窗口观察输入、输出信号波形和频谱变化情况。二、设计内容1、通过MATLAB 来设计一个低通滤波器,对它进行模拟仿真确定FIR 滤波器系数;2、用DSP 汇编语言进行编程,实现FIR 运算,对产生的合成信号,滤除信号中高频成分,观察其滤波前后的波型变化。三、设计原理滤波器就是在时间域或频域内,对已知激励产生规定响应的网络。使其能够从信号中提取有用的信号,抑制并衰减不需要的信号,滤波器的设计实质上就是对提出的要求给出相应的性能指标.再通过计算,使物理可实现的实际滤波器响应特性逼近给出的频率响应特性。FIR 数字滤波器是一种非递归系统,其传递函数为:H (z)=Y(Z)/X(Z)=∑b(n)z -n …… …… …… …… …… …… …… 装 …… …… …… …… …… …… …… …订 …… …… …… …… …… …… …… …… 线…… …… …… …… …… …… …… …

由此可得到系统的差分方程为: y(n)=∑h(i)x(n-i) 其激响应h(n)是有限长序列,它其实就是滤波器系数向量b(n),N为FIR滤波器的阶数. 在数字信号处理应用中往往需要设计线性相位的滤波器,FIR滤波器在保证幅度特性满足技术要求的同时,易做到严格的线性相位特性。为了使滤波器满足线性相位条件,要求其单位脉冲响应h(n)为实序列,且满足偶对称或奇对称条件,即h(n)=h(N-1-n)或h(n)=h(N-1-n)。这样,当N为偶数时,偶对称线性相位F讯滤波器的差分方程表达式为 Y(n)=∑h(i)(x(n-i)+x(N-1-n-i)) 由上可见,FIR滤波器不断地对输入样本x(n)延时后,再做乘法累加算法,将滤波器结果y(n)输出。因此,FIR实际上是一种乘法累加运算。而对于线性相位FIR而言,利用线性相位FIR滤波器系数的对称特性,可以采用结构精简的FIR结构将乘法器数目减少一半。 FIR滤波器的单位脉冲h(n)是一个有限长序列。若h(n)为实数,且满足偶对称或是奇对称的条件,则FIR滤波器具有相位特性,FIR数字滤波器具有以下几个特点:FIR滤波器无反馈回路,是一种无条件稳定系统。 四、总体方案设计 1、有给定的设计参数,滤波器系数可由MATLAB中的函数产生。 2、在CCS中采用汇编源程序来实现FIR数字滤波器 步骤1):创建工程文件project/new/myproject保存时加后缀。 2):在file/new/sourcefile进行汇编语言并将其添加到工程文件。

实验五、无限冲激响应(IIR)数字滤波器的设计

实验四、无限冲激响应(IIR)数字滤波器的设计 一、实验目的 1、熟悉用双线性变换法设计IIR数字滤波器的原理与方法;掌握数字滤波器的计算机仿真方法。 2、掌握用Matlab软件设计流程。 二、实验设备 微型计算机、Matlab7.0教学版 三、实验原理 数字滤波器可以理解为是一个计算程序或算法,将代表输入信号的数字时间序列转化为代表输出信号的数字时间序列,并在转化过程中,使信号按预定的形式变化。数字滤波器有多种分类,根据数字滤波器冲击响应的时域特征,可以将数字滤波器分为两种,即无限长冲击响应滤波器(IIR)和有限长冲激响应滤波器(FIR)。 在MATLAB中,可以通过调用simulink中的功能模块,可以构成数字滤波器的仿真框图。在仿真过程中,双击各个功能模块,随时改变参数,获得不同状态下的仿真结果。 四、实验内容 (1)用fdatool设计一个IIR低通滤波器(具体参数不要求) (2)并用simulink 仿真 (3)对滤波器输入一个含噪信号并能观察到滤波前后的波形 (4)对结果进行分析。 五、实验结果 1、Simulink仿真原理图 2、Filter参数设置

Scope Scope1

Scope2 六、实验总结 通过这次实验,我熟悉用双线性变换法设计IIR数字滤波器的原理与方法;掌握数字滤波器的计算机仿真方法。熟悉和了解了simulink仿真的真个过程。Simulink中各种非常有用的工具箱不仅对于设计IIR数字滤波器非常有用,而且对于整个型号仿真处理具有相当可视化的效果,从仿真的角度看,是达到了技术指标的要求。Simulink是一个进行动态系统建模、仿真和综合分析的集成软件包。它可以处理的系统包括:线性、非线性系统:离散、连续及混合系统;单任务、多任务离散时间系统。

匹配滤波器的研究与设计

毕业设计(论文) 课题名称匹配滤波器的研究与设计 学生姓名刘燕 学号0540826084 系、年级专业信息工程系、通信工程 指导教师陈延雄 职称工程师 2009年5月22日

摘要 本文针对扩频接收机中伪码捕获部分为研究重点,分析了几种基匹配滤波器实现方于FPGA的常用案,其中包括:直接形式的匹配滤波器、转置结构的匹配滤波器、采用分布式算法的匹配滤波器和折叠式匹配滤波器。通过比较这些方案的优缺点,最终选定了以折叠式匹配滤波器为最优方案来进行设计。折叠式匹配滤波器实际上就是以静止的本地扩频码作为累加器的系数,匹配滤波器相关过程就相当于接收信号滑过本地序列,当滑动到两个序列相位对齐时,就必有一个相关峰值输出。该匹配滤波器采用VHDL语言,通过模块划分来进行设计,整个过程都在Xilinx公司开发的ISE集成软件系统中完成,最后在Modelsim仿真软件上进行了各个模块的仿真。本论文所设计的折叠式匹配滤波器,能够根据实际需要来设置不同的扩频码长度,很好的完成伪码的相关捕获效果。该折叠式匹配滤波器结构能够节省FPGA资源,提高伪码捕获时间和效率,有很好的实际效果。 关键词:匹配滤波器;M序列;伪码捕获;折叠式FIR结构;FPGA

ABSTRACT Based on this background , making the PN code capture part as a point of the spread spectrum receiver , this paper analyze several common used Matched Filter programs on FPGA , including : the direct form of matched filter , the transposed structure of matched filter , the distributed arithmetic structure of matched filter , and folded structure of matched filter . Compared with the advantages and the disadvantages of these programs , finally we choose the folded structure of matched filter as the best one to complete this design . The folded filter is actually using the PN code as the accumulator coefficients , and then , matched filter correlation process is equivalent to the receiving signal spreading the PN code . When the sliding of two phase sequence is the same , this implies that making a result of correlation . The designs of the matched filter using VHDL and modules . The whole process completed in the development of the company Xilinx ISE Integrated Software System . Finally , every modules simulated in the Modelsim simulation software . The design on this paper , according to the actual need , can set up a different PN code length , and make a good effect on the PN code capture of the spread spectrum receiver . The folded matched filter can reduce the cost on FPGA resources or the PN code capture time , and improve the efficiency of the capture process , it also can make a very good practical effects . Key words:Matched filter ;M series;Acquisition of Pseudo-code;Folded FIR structure;Transposed FIR structure;FPGA

有限冲击响应FIR_滤波器的设计完美版

专业班级 学号 姓名 成绩 有限冲击响应FIR 滤波器的设计 一、设计目的 1、掌握数字滤波器的设计过程; 2、了解FIR 的原理和特性; 3、熟悉设计FIR 数字滤波器的原理和方法; 4、学习FIR 滤波器的DSP 实现原理; 5、学习使用ccs 的波形观察窗口观察输入、输出信号波形和频谱变化情况。 二、设计内容 1、通过MATLAB 来设计一个低通滤波器,对它进行模拟仿真确定FIR 滤波器系数; 2、用DSP 汇编语言进行编程,实现FIR 运算,对产生的合成信号,滤除信号中高频成分,观察其滤波前后的波型变化。 三、设计原理 滤波器就是在时间域或频域内,对已知激励产生规定响应的网络。使其能够从信号中提取有用的信号,抑制并衰减不需要的信号,滤波器的设计实质上就是对提出的要求给出相应的性能指标.再通过计算,使物理可实现的实际滤波器响应特性逼近给出的频率响应特性。 FIR 数字滤波器是一种非递归系统,其传递函数为: H (z) =Y(Z)/X(Z)=∑b(n)z -n ……………………………………装………………………………………订…………………………………………线………………………………………

由此可得到系统的差分方程为: y(n ) = ∑h ( i ) x ( n -i) 其激响应h(n)是有限长序列,它其实就是滤波器系数向量b ( n ) , N 为FIR 滤波器的阶数. 在数字信号处理应用中往往需要设计线性相位的滤波器,FIR 滤波器在保证幅度特性满足技术要求的同时,易做到严格的线性相位特性。为了使滤波器满足线性相位条件,要求其单位脉冲响应h(n)为实序列,且满足偶对称或奇对称条件,即h ( n )=h(N-1-n)或h(n)=h(N-1-n)。这样,当N 为偶数时,偶对称线性相位F 讯滤波器的差分方程表达式为 Y(n)= ∑h ( i ) (x ( n -i)+x(N-1-n-i)) 由上可见,FIR 滤波器不断地对输入样本x(n)延时后,再做乘法累加算法,将滤波器结果y(n)输出。因此,FIR 实际上是一种乘法累加运算。而对于线性相位FIR 而言,利用线性相位FIR 滤波器系数的对称特性,可以采用结构精简的FIR 结构将乘法器数目减少一半。 FIR滤波器的单位脉冲h(n)是一个有限长序列。若h(n)为实数,且满足偶对称或是奇对称的条件,则FIR滤波器具有相位特性,FIR数字滤波器具有以下几个特点:FIR滤波器无反馈回路,是一种无条件稳定系统。 四、总体方案设计 1、有给定的设计参数,滤波器系数可由MATLAB中的函数产生。 2、在CCS中采用汇编源程序来实现FIR数字滤波器 步骤1):创建工程文件project/new/myproject 保存时加后缀。 2):在file/new/sourcefile进行汇编语言并将其添加到工程文件。

基于Simulink的匹配滤波器设计 设计报告

通信系统课程设计报告

匹配滤波器设计 摘要 在数字通信系统中,滤波器是其中重要部件之一,滤波器特性的选择直接影响数字信号的恢复。在数字信号接收中,滤波器的作用有两个方面:使滤波器输出有用信号成分尽可能强;抑制信号带外噪声,使滤波器输出噪声成分尽可能小,减小噪声对信号判决的影响。通信系统的误码率与输出的信噪比有关,接收端输出信噪比越大,则系统的误码率越小。因此,如果在每次判决前,输出的信噪比都是最大的,则该系统一定是误码率最小的系统。遵从这种考虑原则,,我们可以通过simulink对匹配滤波器进行模拟仿真。 关键词:匹配滤波器;Simulink;模拟;信噪比 Abstract In a digital communication system, wherein the filter is one of the important means to select the filter characteristics directly affect the recovery of digital signals. In the digital signal reception, the role of the filter has two aspects: the filter output as strong useful signal components; suppress signal band noise, the filter output noise components as small as possible, to reduce the impact of noise on the signal judgment . Signal to noise ratio and bit error rate on the output communication system, the receiver output signal to noise ratio, the smaller the system error rate. Thus, if each time before judgment, the output signal to noise ratio is the biggest, the system must be a minimum of system error rate. Comply with the principles of this consideration, we can through the matched filter simulink simulation. Keywords: matched filter; Simulink; SNR

相关文档
相关文档 最新文档