文档库 最新最全的文档下载
当前位置:文档库 › 实验4 用译码器实现组合逻辑电路

实验4 用译码器实现组合逻辑电路

实验4 用译码器实现组合逻辑电路
实验4 用译码器实现组合逻辑电路

实验四用译码器实现组合逻辑电路

一、实验目的:学会用译码器实现组合逻辑电路

二、实验原理:

用译码器加上门电路的方法,来实现较复杂的组合逻辑电路,简单方便。本实验主要使用的译码器是74LS138。对门电路的选择以与非门居多。

72LS138译码器的功能特点:

1、译码器的工作条件:

2、译码器实现函数所用门电路的特点:

简单明了

三、实验仪器及器材:

集成块:74LS138 74LS42 74LS20 74LS08

四、实验内容与步骤:(要求写出各电路的设计步骤,并画出实验电路图。)

1、设计一个三变量,判断奇数个“1”的电路(要求用译码器和与非门实现)。

设当三个变A、B、C量中有奇数个“1”的时候,输出端Y输出“1”;偶数

个的时候输出端Y输出“0”

真值表为:

得到函数表达式为:Y=ABC C B A C B A C B A +++=7421Y Y Y Y

些设备由和两台发电机供电,两台发电机的最大输出功率分别为10W 和30W ,要求设计一个逻辑电路以最节约能源的方式启、停发电机,来控制三台设备的运转、停止(要求用译码器和与非门、与门实现)。

设甲,乙分别为10W ,20W 的发电机;1为工作状态,0为不工作状态。得到

得到函数表达式为:甲=ABC C B A C B A ++=742Y Y Y

乙=ABC C AB C B A BC A C B A ++++=7631Y Y Y Y Y

3、设计一个全加器(要求用译码器和与非门实现)。

Mi-1表示来自低位的进位(0表示无进位,1表示有进位);Ai 表示加数;Bi 表示被加数;Y 表示Ai+Bi 的和;N 表示向高位进位(0表示无进位,1表示有进位)。

由实际的逻辑问题得到的真值表为:

由真值表得到的逻辑表达式为:

Y=AB M B A M B A M B A M i i i i 1-1-1-1-+++=7421Y Y Y Y N=AB M i +1-=AB M i +1-

己的体会。

译码器和编码器实验

实验三译码器和编码器 一实验目的 1.掌握译码器、编码器的工作原理和特点。 2.熟悉常用译码器、编码器的逻辑功能和它们的典型应用。 二、实验原理和电路 按照逻辑功能的不同特点,常把数字电路分两大类:一类叫做组合逻辑电路,另一类称为时序逻辑电路。组合逻辑电路在任何时刻其输出的稳态值,仅决定于该时刻各个输入信号取值组合的电路。在这种电路中,输入信号作用以前电路所处的状态对输出信号无影响。通常,组合逻辑电路由门电路组成。 组合逻辑电路的分析方法:根据逻辑图进行二步工作: a.根据逻辑图,逐级写出函数表达式。 b.进行化简:用公式法、图形法或真值表进行化简、归纳。 组合逻辑电路的设计方法:就是从给定逻辑要求出发,求出逻辑图。一般分四步进行。 a.分析要求;将问题分析清楚,理清哪些是输入变量,哪些是输出函数。 b.列真值表。 c.进行化简:变量比较少时,用图形法。变量多时,可用公式化简。 d.画逻辑图:按函数要求画逻辑图。 进行前四步工作,设计已基本完成,但还需选择元件——集成电路,进行实验论证。 值得注意的是,这些步骤并不是固定不变的程序,实际设计时,应根据具体情况和问题难易程度进行取舍。 1.译码器 译码器是组合电路的一部分,所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: a.二进制译码器:如中规模2—4线译码器74LS139。,3—8线译码器74LS138等。 b.二—十进制译码器:实现各种代码之间的转换,如BCD码—十进制译码器74LS145等。 c.显示译码器:用来驱动各种数字显示器,如共阴数码管译码驱动74LS48,(74LS248),共阳数码管译码驱动74LS47(74LS247)等。 2.编码器 编码器也是组合电路的一部分。编码器就是实现编码操作的电路,编码实际上是译码相反的过程。按照被编码信号的不同特点和要求,编码器也分成三类: a.二进制编码器:如用门电路构成的4—2线,8—3线编码器等。 b.二—十进制编码器:将十进制的0~9编成BCD码,如:10线十进制—4线BCD码编码器74LS147等。 c.优先编码器:如8—3线优先编码器74LS148等。 三、实验内容及步骤 1.译码器实验 (1)将二进制2-4线译码器74LS139,及二进制3-8译码器74LS138分别插入实验系统IC 空插座中。 按图1.3.1接线,输入G、A、B信号(开关开为“1”、关为“0”),观察LED输出Yo、Y1、Y2、Y3的状态(亮为“1”,灭为“0”),并将结果填入表1.3.1中。

译码器实验报告

译码器实验报告 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所

代表的函数对应于n个输入变量的最小项。 三、实验设备与器件 1.+5V直流电源 2.单次脉冲源 3.逻辑电平开关 4.74LS138 四、实验内容及步骤 1.74LS138译码器逻辑功能测试 将译码器使能端STA、STB、STC与地址端A2、A1、A0分别接到逻辑电平开关输入口,八个输出端Y7…Y0依次连接在十六位逻辑电平显示上,拨动逻辑电平开关,逐项测试74LS138的逻辑功能。2.实验箱电源连接正确,电路自查确定无误后,电路验证还是不正确的情况下进行下面的排错检查:

1)检查芯片的电源和地的电平是否正确。 2)芯片的使能端连接的电平正确。 3)从逻辑电平开关输入信号是否正确。 4)从输出端按逻辑功能状态往前一步一步排查。 3.两片3线-8线译码器74LS138扩展为4线-16线译码器 用两片74LS138组合成一个四线-十六线译码器进行实验,并分析逻辑功能。

74LS153译码器组合逻辑电路设计案例分析

74LS153译码器组合逻辑电路设计案例分析 1、逻辑电路:D3、D 2、D1、D0为数据输入端,A1、A0为地址信号输入端,Y 为数据输出端,ST 为使能端,又称选通端,输入低电平有效。下图7.10为74LS153 管脚排列示意图。该芯片中存在两个4选1数据选择器。 2Y 9 2C0102C1112C2122C313A 14B 2~1G 11Y 7 1C061C151C241C33~2G 15 图7.10 74LS153 管脚排列 表7.5为74LS153的功能表。 当使能端G 有效时,输出等于地址信号A 、B 所选择的数据信号。可得输出函数表达式 为:3322110C m C m C m C m Y o +++=。 对于一个n 选1的数据选择器,其输出函数为:n n o C m C m Y ++=...0 二、用数据选择器实现组合逻辑函数 实现原理:数据选择器是一个逻辑函数的最小项输出:

∑-=== ++=1 20 0...n i i i n n o c m C m C m Y 而任何一个n 位变量的逻辑函数都可变换为最小项之和的标准式。对照函数表达式和相应的数据选择器输出函数表达式,可以实现用数据选择器来表示逻辑函数。 实现步骤: (1)根据函数变量选择合适的数据选择器,一般变量个数n 个,选择2n 选1的数据选择器。 (2)将被表示的函数转换成标准与或表达式。 (3)写出选择的数据选择器的输出函数。 (4)对比两函数,使数据选择器的地址端和函数变量一一对应(高位对高位),表达式中出现的最小项相应的输入数据C 为1,否则为0。 (5)画逻辑电路图。 例:用数据选择器和门电路实现AC AB Y += 的组合逻辑电路。 (1) 选择数据选择器:选8选1数据选择器74LS151。 (2) 标准与或表达式 756 m m m ABC C B A C AB AC AB Y '+'+'=++=+=' (3)写出数据选择器输出函数 776655443322110C m C m C m C m C m C m C m C m Y o +++++++= (4)对照上述两表达式,令A=A2,B=A1,C=A0,则n n m m '=,所以,C 0=C 1=C 2=C 3=C 4=0; C 5=C 6=C 7=1。 (5)画逻辑电路,如图7.11所示。

实验4 组合逻辑电路设计(编码器和译码器)

实验四 组合逻辑电路设计(编码器和译码器) 一、【实验目的】 1、 验证编码器、译码器的逻辑功能。 2、 熟悉常用编码器、译码器的逻辑功能。 二、【实验原理】 1.编码器 编码器是组合电路的一部分,就是实现编码操作的电路,编码实际上是和译码相反的过程。按照被编码信号的不同特点和要求,编码也分成三类: (1)二进制编码器:如用门电路构成的4-2线,8-3线编码器等。 (2)二—十进制编码器:将十进制0~9编程BCD 码,如10线十进制-4线BCD 码编码器74LS147等。 (3)优先编码器:如8-3线优先编码器74LS148等。 2.译码器 译码器是组合电路的一部分。所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: (1)二进制译码器:如中规模2-4线译码器74LS139,3-8线译码器74LS138等。 (2)二—十进制译码器:实现各种代码之间的转换,如BCD 码——十进制译码器74LS145等。 (3)显示译码器:用来驱动各种数字显示器,如共阴数码管译码器驱动74LS48,共阳数码管译码驱动74LS47等。 三、【实验内容与步骤】 1.编码器实验 将10—4线(十进制—BCD 码)编码器74LS147集成片插入IC 空插座中,管脚排列如下图4-1所示。按下图4-2接线,其中输入端1~9通过开关接高低电平(开关开为“1”、开关关为“0”),输出Q D 、Q C 、Q B 、Q A 接LED 发光二极管。接通电源,按表输入各逻辑电平,观察输出结果并填入表4-1中。 45678QC QB Ucc NC QD 3 2 1 GND QA 图4-1 74LS147集成芯片管脚分布图

数字电路译码器实验报告

一、实验目的与要求 1.了解和正确使用MSI组合逻辑部件; 2.掌握一般组合逻辑电路的特点及分析、设计方法; 3. 学会对所设计的电路进行静态功能测试的方法; 4. 观察组合逻辑电路的竞争冒险现象。 预习要求: (1)复习组合逻辑电路的分析与设计方法; (2)根据任务要求设计电路,并拟定试验方法; (3)熟悉所用芯片的逻辑功能、引脚功能和参数; (4)了解组合逻辑电路中竞争冒险现象的原因及消除方法。 (5)二、实验说明 译码器是组合逻辑电路的一部分。所谓译码就是不代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: 1.二进制译码器:把二进制代码的各种状态,按照其原意翻译成对应输出信号的电路。如中规模2线—4线译码器74LS139,3线—8线译码器74LS138等。 2.二—十进制译码器:把输入BCC码的十个代码译成十个高、低电平信号。 3.字符显示译码器:把数字、文字和符号的二进制编码翻译成人们习惯的形式并直观地显示出来的电路,如共阴极数码管译码驱动的74LS48(74LS248),共阳极数码管译码驱动的74LS49(74LS249)等。 三、实验设备 1.RXB-1B数字电路实验箱 2.器件 74LS00 四2输入与非门 74LS20 双4输入与非门 74LS138 3线—8线译码器 四、任务与步骤 任务一:测试3线—8线译码器74LS138逻辑功能 将一片3线—8线译码器74LS138插入RXB-1B数字电路实验箱的IC空插座中,按图3-15接线。A0、A1、A2、STA、STB、STC端是输入端,分别接至数字电路实验箱的任意6个电平开关。Y7、Y6、Y5、Y4、Y3、Y2、Y1、Y0输出端,分别接至数字电路实验箱的电平显示器的任意8个发光二极管的插孔8号引脚地接至RXB—IB型数字电路实验箱的电源“ ”,16号引脚+5V接至RXB-1B数字电路实验箱的电源“+5V”。按表3-2中输入值设置电平开关状态,观察发光二极管(简称LED)的状态,并将结果填入表中。 根据实验数据归纳出74LS138芯片的功能。 表3-2 3线-8线译码器74LS138功能表

用译码器设计组合逻辑电路例题分析word精品

用译码器设计组合逻辑电路例题、用3线—8线译码器74HC138和门电路实现逻辑函数 ^A/B/C/A/BC/ABC。 (要求写出过程,画出连接图)(本题10分) Y° Y t Y2 Y3 Y4 Y5Y B Y7 74HC138 A] A A Q字昼 \ r ~Q Q ~ 解: (1)74HC138的输出表达式为:(2分) Y i/ =m((i =0 ?7) (2)将要求的逻辑函数写成最小项表达式:(2分) Y = A/B/C/ A/ BC/ ABC 二m0 m2 m7 = (m0m1/m7)/ (3)将逻辑函数与74HC138的输出表达式进行比较:设A= A2、B= A1、C= A o,得:Y =(m0m;m7)/ = (Y/Y^Y^)'(2分) (4)可用一片74HC138再加一个与非门就可实现函数。其逻辑图如下图所示。(4分) Y o Y1 Y; Y3 Y4 Y5 Y6 Y; 74HC138 A? A[ A o S1 S2 S3 r ~7" ABC +5V

三、公司A B C 三个股东,分别占有50% 30唏口 20%勺股份,试用一片3线-8 线译码器74HC138和若干门电路设计一个三输入三输出的多数表决器, 用于开会 时按股份大小记分输出通过、平局和否决三种表决结果。通过、平局和否决,分 别用X 、丫、Z 表示(股东赞成和输出结果均用1表示)。(12分) Y c Y 1 Y 2 Y 3 Y 4 Y 5 Y S Y 7 74HC138 A :, A. Ai S? S* X = AB /C ABC / ABC = m 5 m 6 m 7 = (m 5m 6m 7)/ 丫二 A ’BC AB /C / ABC 二 m 3 m 4 = Z = A /B /C / ' A / B /C A /BC / = m 0 m t 二(m 0m 1 m 2)/ (3)画连线图(4分) 令 74HC138的地址码 A^AA^B'A^C ABC XYZ 000 001 001 001 010 001 011 010 100 010 101 100 110 100 111 100 解: (1)列写真值表(4 分) (2)列写表达式(4 分)

实验三 3-8译码器的功能测试及仿真

实验三3-8译码器功能测试及仿真 一、实验目的 1、掌握中规模集成3-8译码器的逻辑功能和使用方法。 2、进一步掌握VHDL语言的设计。 二、预习要求 复习有关译码器的原理。 三、实验仪器和设备 1.数字电子技术实验台1台 2.数字万用表1块 3.导线若干 4.MUX PLUSII软件 5.74LS138集成块若干 四、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 1.变量译码器(又称二进制译码器) 用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。 以3线-8线译码器74LS138为例进行分析,下图(a)、(b)分别为其逻辑图及引脚排列。其中 A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。下表为74LS138功能表,当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。

3-8线译码器74LS138逻辑图及引脚排列图 74LS138功能表 二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图3-2所示。若在S1输入 端输入数据信息,2S=3S=0,地址码所对应的输出是S1数据信息的反码;若从2S端输入数据信息,令S1=1、3S=0,地址码所对应的输出就是2S端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可

编码器和译码器实验报告

译码器、编码器及其应用 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出??接数字实验箱LED 管,地址输入接实验箱开关,使能端接固定电平(或GND)。电路图如Figure 1所示: Figure 2 ??????????????时,任意拨动开关,观察LED显示状态,记录观察结果。 ??????????????时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。 用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。

Figure 4 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: ?? 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: ?? ?? A ? ??????????? ???? 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。 Figure 6

(3) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 而输入端只有 A、、三个,故要另用使能端进行片选使两片138译码器 进行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试?,在各端子上移动即可。在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入 A时,应该是输出低电平,故应该第一个小灯亮。实际用实验台测试时,LE0灯显示如Figure 9所示。当输入 A时,应该是输出低电平,故理论上应该第二个小灯亮。实际用实验台测试时,LE0灯显示如Figure 6所示。 Figure 10

第六章 组合逻辑电路要点

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

实验三译码器及其应用、数据选择器及其应用

实验三译码器及其应用、数据选择器及其应用 一、实验目的 1 ?掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2 ?用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本 方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端S B、S C和S A,当S A=1、 S B= S C =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平?利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1 ?译码器 一个n变量的译码器的输出包含了n变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变 量的全部最小项的译码?参见模拟电子技术基础教材中3线/8线译码器功能表. 用n变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n的组合逻辑电路. 2 ?数据选择器 一个n个地址端的数据选择器, 具有2n个数据选择的功能.例如,数据选择器74LS151, n=3,可完成八选一的功能?参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 丫A2AA0D0 A2AA0D1A 2 Al A o D 2 A? A1A0D 3 A2A A0D 4 A2A A0D 5 A2 A A) A2AA0D7 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1 ?三输入变量译码器功能测试 地址输入端AA1A0是一组三位二进制代码,其中A权最高,A o权最低,按实验电路图3-1接线,将实验结果填入

哈夫曼编码译码器实验报告免费

哈夫曼编码译码器实验报告(免费)

————————————————————————————————作者:————————————————————————————————日期:

问题解析与解题方法 问题分析: 设计一个哈夫曼编码、译码系统。对一个ASCII编码的文本文件中的字符进行哈夫曼编码,生成编码文件;反过来,可将编码文件译码还原为一个文本文件。 (1)从文件中读入任意一篇英文短文(文件为ASCII编码,扩展名为txt); (2)统计并输出不同字符在文章中出现的频率(空格、换行、标点等也按字符处理);(3)根据字符频率构造哈夫曼树,并给出每个字符的哈夫曼编码; (4)将文本文件利用哈夫曼树进行编码,存储成压缩文件(编码文件后缀名.huf)(5)用哈夫曼编码来存储文件,并和输入文本文件大小进行比较,计算文件压缩率;(6)进行译码,将huf文件译码为ASCII编码的txt文件,与原txt文件进行比较。 根据上述过程可以知道该编码译码器的关键在于字符统计和哈夫曼树的创建以及解码。 哈夫曼树的理论创建过程如下: 一、构成初始集合 对给定的n个权值{W1,W2,W3,...,Wi,...,Wn}构成n棵二叉树的初始集合 F={T1,T2,T3,...,Ti,...,Tn},其中每棵二叉树Ti中只有一个权值为Wi的根结 点,它的左右子树均为空。 二、选取左右子树 在F中选取两棵根结点权值最小的树作为新构造的二叉树的左右子树,新二 叉树的根结点的权值为其左右子树的根结点的权值之和。 三、删除左右子树 从F中删除这两棵树,并把这棵新的二叉树同样以升序排列加入到集合F中。 四、重复二和三两步, 重复二和三两步,直到集合F中只有一棵二叉树为止。 因此,有如下分析: 1.我们需要一个功能函数对ASCII码的初始化并需要一个数组来保存它们; 2.定义代表森林的数组,在创建哈夫曼树的过程当中保存被选中的字符,即给定报文 中出现的字符,模拟哈夫曼树选取和删除左右子树的过程; 3.自底而上地创建哈夫曼树,保存根的地址和每个叶节点的地址,即字符的地址,然 后自底而上检索,首尾对换调整为哈夫曼树实现哈弗曼编码; 4.从哈弗曼编码文件当中读入字符,根据当前字符为0或者1的状况访问左子树或者 右孩子,实现解码; 5.使用文件读写操作哈夫曼编码和解码结果的写入; 解题方法: 结构体、数组、类的定义: 1.定义结构体类型的signode 作为哈夫曼树的节点,定义结构体类型的hufnode 作为

04第四章组合逻辑电路

第四章组合逻辑电路 ▲ 4.1概述 1 ?逻辑电路的分类 (1)组合逻辑电路(简称组合电路); (2)时序逻辑电路(简称时序电路)。 2、组合逻辑电路的特点 (1)功能特点:任一时刻的输出状态仅仅取决于同一时刻的输入状态, 一时刻的状态无关。 (2)结构特点:不包含记忆单元,即存储单元。 3、组合逻辑电路的描述 如图所示: 用一组逻辑函数表示为: 『丫1 f1(X’、 X、X n) 斗丫2 f2(X’、 X2、 X n) JY n f n(X1、X2、X n) 4.2组合逻辑电路的分析和设计方法 一、分析方法 分析就是已知电路的逻辑图,分析电路的逻辑功能。分析步骤如下: (1)根据已知的逻辑图,从输入到输出逐级写出逻辑函数表达式。 (2)利用公式法或卡诺图法化简逻辑函数表达式(最简与或表达式)(3)列真值表。 (4)确定其逻辑功能。 例1、分析下图组合逻辑电路的功能。而与前 组合逻辑电路输出信号

(4)由真值表知:若输入两个或者两个以上的1, 输出丫为1 功能:在实际应用中可作为多数表决电路 使用。 练习:分析如图所示组合逻辑电路的功能 ▲二、设计方法 设计就是已知实际逻辑问题,设计实现该功能的最简电路。 设计步骤如下: (1)根据实际逻辑问题进行逻辑抽象,即确定输入、输出变量的个数,并对它们进行逻辑赋值(即确定0和1代表的含义)。 (2)根据逻辑功能列出真值表,求出逻辑函数表达式。 (3)选定逻辑器件。 1、若选用SSI (小规模门电路),则化简函数表达式,画出实现电路; 2、若选用MSI (中规模门电路),则变换函数表达式形式,画出实现电路。例2、 有三个班学生上自习,大教室能容纳两个班学生,小教室能容纳一个班学生。设计两个教室是否开灯的逻辑控制电路,用SSI门电路实现。要求如下: (1)一个班学生上自习,开小教室的灯。 (2)两个班上自习,开大教室的灯。 (3)三个班上自习,两教室均开灯。 解:(1)逻辑抽象: 设输入变量A、E、C分别表示三个班学生是否上自习,1表示上自习,0表示不上自习; 输出变量Y、F分别表示大教室、小教室的灯是否亮,1表示亮,0表示灭。 (2)列真值表: (3)列真值 表: ABC 丫 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

四组合逻辑电路的设计

实验四 组合逻辑电路的设计(二) 一、实验目的 1. 熟悉各种常用MSI 组合逻辑电路的功能与使用方法; 2. 掌握多片MSI 组合逻辑电路的级联、功能扩展; 3. 学会使用MSI 逻辑器件设计组合电路; 4. 进一步培养查找和排除数字电路常见故障的能力。 二、实验器件 1. 74LS151 八选一数据选择器 2. 74LS283 四位二进制全加器 三、实验原理 见实验三。 四、设计举例 例:使用全加器实现四位二进制相减。 原理:减去某个二进制数就是加上该数的补码(即反码加“1”),所以二进制数A 和B 相加,先将B 变为反码,然后与数A 相加,并令C1=1,即可。电路如图4—1示: A 0A 2A 3 A 1 被减数 减数 B 0 B 1B 2B 3 V CC C 4 C 1 C 0∑ ∑1 ∑2∑3 ∑0图 4-1 例:设计一四变量输入组合逻辑电路。当四个输入中有奇数个高电平“1”时 输出高电平“1”,否则输出低电平“0”。 原理:设输入四变量为DCBA ,输出为Y ,其真值表入图4—2(a )所示,输出函数Y 为: Y B C D A B C D 1D 2D 3D 4D 5D 6D 7 D 0??? ? ?? A (b)

用八选一数据选择器实现四变量逻辑函数时,以其中3个变量做地址,另外一个变量做数据。选DCB三变量作为地址,A为数据,画出电路图如图4—2(b):五、实验内容 1.用八选一数据选择器74LS151设计一个8421BCD非法码检测电路,当输入为非法码组时,输出为1,否则为零。 2.用全加器实现2位二进制数相乘。 六、实验报告要求 1.画出各实验步骤的实验电路逻辑图,并分析实验结果。 2.总结MSI器件的功能及使用方法。

EDA实验-- 译码器与编码器的设计与仿真

实验三译码器与编码器的设计与仿真 一、实验目的: 熟悉Quartus软件的基本操作,掌握用Quartus软件验证VHDL语言。熟悉译码器与编码器所实现功能及其应用,通过实验堆译码器与编码器有更深刻理解。一、实验内容: 1.参照芯片74LS138的电路结构,用逻辑图和VHDL语言设计3-8译码器;2.参照芯片74LS148的电路结构,用逻辑图和VHDL语言设计8-3优先编码器。 三、实验原理: 电路功能介绍 1.74148:8-3优先编码器(8 to 3 Priority Encoder) 用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。键盘里就有大家天天打交道的编码器,当你敲击按键时,被敲击的按键被键盘里的编码器编码成计算机能够识别的ASCII码。译码器与编码器的功能正好相反。 逻辑框图 逻辑功能表

逻辑表达式和逻辑图:由你来完成。 2.74138:3-8译码器(3 to 8 Demultiplexer ),也叫3-8解码器 用途:用一组二进制代码来产生各种独立的输出信号,这种输 出信号可以用来执行不同的工作。显示器中的像素点受到译码器的输出控制。 逻辑框图:用逻辑符号(Symbol )来解释该电路输入与输出信号 之间的逻辑关系,既省事又直观。如下图所示。 逻辑功能表:用真值表来定量描述该电路的逻辑功能。这个表 是设计3-8译码器的关键;74138的逻辑功能表如下: 代码输入端 解码信号输出端 低电平有效 使能输入端

注:使能端G1是高电平有效; 使能端G2是低电平有效,G2 = G2A AND G2B。 四、实验步骤: 1、译码器: (1)在Quartus软件中输入以下程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity lbz3 is port (A : in std_logic_vector(2 downto 0); Y : out std_logic_vector(7 downto 0)); end lbz3; architecture art of lbz3 is begin Y<="10000000" when(A="111")else "01000000" when(A="110")else "00100000" when(A="101")else "00010000" when(A="100")else "00001000" when(A="011")else "00000100" when(A="010")else "00000010" when(A="001")else "00000001"; end art; 在Quartus中对程序进行编译如下所示:

实验三---译码器及其应用实验报告

实验三译码器及其应用 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,电脑一台,74LS20,74LS138。 三、实验内容 (1)利用3-8译码器74LS138和与非门74LS20实现函数: 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: 按Figure 1所示的电路连接。并用Multisim进行仿真,将结果对比。

Figure 1 (2) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 导线测试,在各端子上移动即可。在multisim中仿真电路连接如Figure 2所示(实 验台上的电路没有接下面的两个8灯LED): Figure 2 四、实验结果 (1) 利用3-8译码器74LS138和与非门74LS20实现函数。

输入,由可知,小灯应该亮。测试结果如Figure 1所示。输入,分析知小灯应该灭,测试结果如Figure 2所示。输入 ,分析知小灯应该亮,测试结果如Figure 3所示。 Figure 4 Figure 5

Figure 6 同理测试,得到结果列为下面的真值表: A B C Y 0 0 0 1 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1 与所要实现的逻辑功能相一致。 (2) 用两片74LS138组成4-16线译码器。 进行测试,得到的结果列为真值表如下: G1 A B C 1 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 0 0 0 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1

组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=0、Z=0的真值表从略。 [题] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题的真值表如表所示,逻辑图如图(b)所示。

数电实验 组合逻辑电路

实验报告 课程名称: 数字电子技术实验 指导老师: 成绩:__________________ 实验名称: 组合逻辑电路 实验类型: 设计型实验 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的和要求 1. 加深理解典型组合逻辑电路的工作原理。 2. 熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3. 掌握组合集成电路元件的功能检查方法。 4. 掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 5. 熟悉全加器和奇偶位判断电路的工作原理。 二.实验内容和原理 组合逻辑电路设计的一般步骤如下: 1.根据给定的功能要求,列出真值表; 2. 求各个输出逻辑函数的最简“与-或”表达式; 3. 将逻辑函数形式变换为设计所要求选用逻辑门的形式; 4. 根据所要求的逻辑门,画出逻辑电路图。 实验内容: 1. 测试与非门74LS00和与或非门74LS55的逻辑功能。 2. 用与非门74LS00和与或非门74LS55设计一个全加器电路,并进行功能测试。 专业: 电子信息工程 姓名: 学号: 日期: 装 订 线

3. 用与非门74LS00和与或非门74LS55设计四位数奇偶位判断电路,并进行功能测试。 三. 主要仪器设备 与非门74LS00,与或非门74LS55,导线,开关,电源、实验箱 四.实验设计与实验结果 1、一位全加器 全加器实现一位二进制数的加法,他由被加数、加数和来自相邻低位的进数相加,输出有全加和与向高位的进位。输入:被加数Ai,加数Bi,低位进位Ci-1输出:和Si,进位Ci 实验名称:组合逻辑电路 姓名:学号: 列真值表如下:画出卡诺图: 根据卡诺图得出全加器的逻辑函数:S= A⊕B⊕C; C= AB+(A⊕B)C 为使得能在现有元件(两个74LS00 与非门[共8片]、三个74LS55 与或非门)的基础上实现该逻辑函数。所以令S i-1=!(AB+!A!B),Si=!(SC+!S!C), Ci=!(!A!B+!C i-1S i-1)。 仿真电路图如下(经验证,电路功能与真值表相同):

实验2 译码器及其应用

实验2 译码器及其应用 10数计计科2班 丁琴(41)林晶(39) 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 1、变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n 个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。以3线-8线译码器74LS138为例进行分析,图5-6-1(a)、(b)分别为其 逻辑图及引脚排列,其中A2 、A1 、A0 为地址输入端,0Y~7Y为译码输出端,S1、2S、 S为使能端。其工作原理为: 3 Yi=S1 S2 S3 mi (1)当S2=S3=0,S1=data时 若m0=1,A2=A1=A0=0时则Y0 =S1= data 改变A2、A1、A0使得data出现在不同的输出端 (2)当S1=1, S2=0,S3=data时 若m0=1,则Y0=data; 改变A2A1A0使得data出现在不同的输出端 对照表5-6-1就可判断其功能是否正常。

(a) (b) 图5-6-1 3-8线译码器74LS138逻辑图及引脚排列 二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图5-6-2所示。若在S1输入端输入数据信息,2S=3S=0,地址码所对应的输出是S1数据信息的反码;若从2S端输入数据信息,令S1=1、3S=0,地址码所对应的输出就是2S端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。 二进制译码器还能方便地实现逻辑函数,如图5-6-3所示,实现的逻辑函数是

相关文档
相关文档 最新文档