文档库 最新最全的文档下载
当前位置:文档库 › 北科大数电实验报告

北科大数电实验报告

北科大数电实验报告
北科大数电实验报告

【实验目的】

通过对时序电路的设计,掌握对数电芯片的使用,以及Multisim仿真软件的使用【实验任务】

电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排LED发光二极管表示拔河的“电子绳”。由甲乙双方通过按钮开关使发光的LED管向自己一方的终点延伸,当延伸到某方的最后一LED管时,则该方获胜,连续比赛多局以定胜负。

比赛开始,由裁判下达比赛命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。

裁判下达“开始比赛”的命令后,位于“电子绳”中点的LED发亮。甲乙双方通过按键输入信号,使发亮的LED管向自己一方移动,并阻止其向对方延伸。当从中点至自己一方的LED管全部发亮时,表示比赛结束。这时,电路自锁,保持当前状态不变,除非由裁判使电路复位。

记分电路用两位七段数码管分别对双方得分进行累计,在每次比赛结束时电路自动加分。

【实验电路设计】

1.编码电路的设计:

由双时钟二进制同步可逆计数器74LS193构成,它有2个输入端,4个输出端,进行加/减计数。通过编码器来控制电平指示灯的显示,加计数时向右移动,进行减计数时,向相反方向移动。电路图如下:

2.整形电路设计:

由与门74LS08和与非门74LS00构成。因74LS193是可逆计数器,控制加减的CP脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时,减法输入端CP D必须接高电平;进行减法计数时,加法输入端CP U也必须接高电平,若直接由A、B键产生的脉冲加到5脚或4脚,就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使A、B二键出来的脉冲经整形后变为一个占空比很大的脉冲,这就减少了进行某一计数时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数。电路图如下图所示:

3.译码电路:

由4线-16线译码器CC4514构成。译码器的输出Y0~Y15中选15个接电平指示灯,电平指示灯的负端接地,而正端接译码器;这样,当输出为高电平时电平指示灯点亮。

比赛准备,译码器输入为0000,Y0输出为1,中心处指示灯首先点亮,当编码器进行加法计数时,亮点向右移,进行减法计数时,亮点向左移。电路图如下图所示:

4.控制电路:

由异或门74LS86和与非门74LS00构成,其作用是指示出谁胜谁负。当亮点移到任何一方的终端时,判该方为胜,此时双方的按键均宣告无效。将双方终端指示灯的正接至异或门的2个输入端,当获胜一方为“1”,而另一方则为“0”,异或门输 出为“1”,经与非门产生低电平“0”,再送到74LS193计数器的置数端,于是计数器 停止计数,处于预置状态,由于计数器数据端D 0、D 1、D 2、D 3和输出Q 0、Q 1、Q 2、Q 3对应相连,输入也就是输出,从而使计数器对脉冲不起作用。电路图如下图所示:

LD

5.胜负显示:

由计数器CC4518和译码显示器构成。将双方终端指示灯正极经与非门输出后分别接到2个CC4518计数器的EN端,CC4518的两组4位BCD码分别接到实验箱中的两组译码显示器的8、4、2、1插孔上。当一方取胜时,该方终端指示灯发亮,产生一个上升沿,使相应的计数器进行加一计数,于是就得到了双方取胜次数的显示,若1位数不够,则进行2位数的级连。电路图如下:

【实验结果】

A得一分:

B得一分并复位

【实验总结】

数电实验报告册

湖北理工学院电气与电子信息工程学院 实验报告 课程名称:电子技术实验(数电部分) 专业名称: 班级: 学号: 姓名: 1

湖北理工学院电气与电子信息工程学院实验报告规范实验报告是检验学生对实验的掌握程度,以及评价学生实验课成绩的重要依据,同时也是实验教学的重要文件,撰写实验报告必须在科学实验的基础上进行。真实的记载实验过程,有利于不断积累研究资料、总结研究实验结果,可以提高学生的观察能力、实践能力、创新能力以及分析问题和解决问题的综合能力,培养学生理论联系实际的学风和实事求是的科学态度。 为加强实验教学中学生实验报告的管理,特指定湖北理工学院电气与电子信息工程学院实验报告规范。 一、每门实验课程中的每一个实验项目均须提交一份实验报告。 二、实验报告内容一般应包含以下几项内容: 1、实验项目名称:用最简练的语言反映实验内容,要求与实验课程安排表中一致; 2、实验目的和要求:明确实验的内容和具体任务; 3、实验内容和原理:简要说明本实验项目所涉及原理、公式及其应用条件; 4、操作方法与实验步骤:写出实验操作的总体思路、操作规范和操作主要注意事项,准确无误地记录原始数据; 5、实验结果与分析:明确地写出最后结果,并对实验得出的结果进行具体、定量的结果分析,说明其可靠性; 6、问题与建议(或实验小结):提出需要解决问题,提出改进办法与建议,避免抽象地罗列、笼统地讨论。(或对本次实验项目进行总结阐述。) 三、实验报告总体上要求字迹工整,文字简练,数据齐全,图标规范,计算正确,分析充分、具体、定量。 四、指导教师及时批改实验报告,并将批改后的报告返还学生学习改进。 五、实验室每学期收回学生的实验报告,并按照学校规章保存相应时间。 2

北科大数字图像处理实验报告

北京科技大学计算机与通信工程学院 实验报告 实验名称:《数字图像处理》课程实验 学生姓名:徐松松 专业:计算机科学与技术 班级:计1304 学号:41345053 指导教师:王志明 实验成绩: 实验时间:2016 年12 月15 日

一、实验目的与实验要求 1、实验目的 1. 熟悉图像高斯、脉冲等噪声的特点,以及其对图像的影响; 2. 理解图像去噪算法原理,并能编程实现基本的图像去噪算法,达到改善图像质量的效果,并能对算法性能进行简单的评价。 3. 理解图像分割算法的原理,并能编程实现基本的灰度图像分割算法,并显示图像分割结果。 2、实验要求 1. 对于给定的两幅噪声图像(test1.jpg, test 2.jpg),设计或选择至少两种图像滤波算法对图像进行去噪。 2.利用给出的参考图像(org1.jpg, org2.jpg),对不同算法进行性能分析比较。 3. 对于给定的两幅数字图像(test.jpg,test 4.jpg),将其转换为灰度图像,设计或选择至少两种图像分割算法对图像进行分割,用适当的方式显示分割结果,并对不同算法进行性能分析比较。 二、实验设备(环境)及要求 1. Mac/Windows计算机 2. Matlab编程环境。 三、实验内容与步骤 1、实验1 (1)实验内容 1. 对于给定的两幅噪声图像(test1.jpg, test 2.jpg), 设计或选择至少两种图像滤波算法对图像进行去噪。 2. 利用给出的参考图像(org1.jpg, org2.jpg), 对不同算法进行性能分析比较。(2)主要步骤 1. 打开Matlab编程环境; 2. 利用’imread’函数读入包含噪声的原始图像数据; 3. 利用’imshow’函数显示所读入的图像数据;

数电实验报告

数电实验报告

《数字电子技术》 实验报告 姓名:*** 班级:****888

学号:2014*******8 指导老师:**** 编制时间:2016.06.10 北京联合大学 实验一基本集成逻辑门电路功能分析一、实验目的 1.理解TTL和CMOS普通门电路的参数含义。 2.掌握TTL和CMOS普通门电路的使用方法。 3.掌握分析普通门电路逻辑功能的一般方法。 4.理解TTL和CMOS普通门电路参数的一般分析方法。 二、实验元器件 双四输入与非门 74LS00×1片 六反相器 74LS04×1片 电阻 300Ω×1只 三、实验内容

(一) TTL 双四输入与非门74LS00功能分析 (1)逻辑功能分析 参考图1.1连接电路。一只74LS00芯片中含有四个相同的2输入与非门,可以随意选用,此处选用的是第一个门电路。检查电路无误时方可通电。 图1.1 与非门逻辑功能测试电路 变换单刀双掷开关J1和J2的状态,用直流电压表测试电路的输出电压,将测试结果记入表1.1中。 表1.1 输入 输出 U 1/V U 2/V 实测值 逻辑值 0 0 5 5 0 5 5 5 5 5 5 U1A 7400N J2Key = A J1 Key = B VCC 5V 0.000 V +-

5 5 0 0 (2)电压传输特性分析 依照图1.3编辑电路。在0~5V 间逐步调整输入的直流电压,将随之变化的输出电压记入表1.2中。 图1.3 分析与非门电压传输特性仿真电路 表1.2 U I /V U O /V U I /V U O /V U I /V U O /V U I /V U O /V 5.0 0 3.8 0 2.6 0 1.4 5 4.8 0 3.6 0 2.4 5 1.2 5 4.6 0 3.4 0 2.2 5 1.0 5 4.4 0 3.2 0 2.0 5 0.8 5 4.2 0 3.0 0 1.8 5 0.4 5 4.0 0 2.8 1.6 5 5 5.000 V +-VSS U1A 7400N V2 1.8 V

数电实验报告

选课时间段: 序号(座位号): 杭州电子科技大学 实验报告 课程名称: 数字原理与系统设计实验 实验名称: 组合电路时序分析与自动化设计 指导教师: 学生姓名 学生学号 学生班级 所学专业 实验日期

实验一、设计8位串行进位加法器电路设计: 一位全加器: 八位串行进位加法器:

仿真波形:

实验二、设计5人表决电路 代码: module BJDL45(A,B,C,D,E,YES,NO); input A,B,C,D,E; output YES,NO; reg YES,NO; always@ (A,B,C,D,E,YES,NO) case ({A,B,C,D,E}) 5'B00000:{YES,NO}<=2'B01; 5'B00001:{YES,NO}<=2'B01; 5'B00010:{YES,NO}<=2'B01; 5'B00011:{YES,NO}<=2'B01; 5'B00100:{YES,NO}<=2'B01; 5'B00101:{YES,NO}<=2'B01; 5'B00110:{YES,NO}<=2'B01; 5'B00111:{YES,NO}<=2'B10; 5'B01000:{YES,NO}<=2'B01; 5'B01001:{YES,NO}<=2'B01; 5'B01010:{YES,NO}<=2'B01; 5'B01011:{YES,NO}<=2'B10; 5'B01100:{YES,NO}<=2'B01; 5'B01101:{YES,NO}<=2'B10; 5'B01110:{YES,NO}<=2'B10; 5'B01111:{YES,NO}<=2'B10; 5'B10000:{YES,NO}<=2'B01; 5'B10001:{YES,NO}<=2'B01; 5'B10010:{YES,NO}<=2'B01; 5'B10011:{YES,NO}<=2'B10; 5'B10100:{YES,NO}<=2'B01; 5'B10101:{YES,NO}<=2'B10; 5'B10110:{YES,NO}<=2'B10; 5'B10111:{YES,NO}<=2'B10; 5'B11000:{YES,NO}<=2'B01; 5'B11001:{YES,NO}<=2'B10; 5'B11010:{YES,NO}<=2'B10; 5'B11011:{YES,NO}<=2'B10; 5'B11100:{YES,NO}<=2'B10; 5'B11101:{YES,NO}<=2'B10; 5'B11110:{YES,NO}<=2'B10; 5'B11111:{YES,NO}<=2'B10; default: {YES,NO}<=2'B10; endcase

微机实验报告(1)

《微机实验》报告 实验名称 KeilC的使用与汇编语言上机操作 指导教师刘小英 专业班级中法1201 姓名肖洋学号 U3 联系电话 一、任务要求 1.掌握KeilC环境的使用 1)字节拆分、合并:调试程序,观察相关寄存器和单元的内容。 2)数据块填充:调试程序,观察相关寄存器和单元的内容。 2. 编写两个十六位数的加法程序。 有两个十六位无符号数,分别存放在从20H和30H开始的数据区中,低八位先存,高八 位在后,和存于R3(高八位)和R4(低八位),进位位存于R2。 二、设计思路 1.字节拆分、合并程序:利用汇编语言中的 XCHD 和 SWAP 两个语句来实现将八位二进制 数拆分为两个四位二进制数并分别存储于不同的存储空间的功能,BCD 码与 30H 相或(加 上 30H)得到 ASCII 码。将两个 ASCII 码和 0FH 相与(高四位清零)得到 BCD 码,利 用 SWAP 语句将高位数放至高四位,将高位数和低位数相或可实现字节的合并。 2.数据块填充程序:将 R0 用作计数器,DPTR 用作片外数据指针,A 作为原始数据来源, 依顺序在片外的存储单元内容填充数据。利用循环语句来减少程序长度,并控制填充单 元个数为片外 100H 个。(通过 R0 的进位控制) 3.两个十六位数加法程序:把第一个十六位无符号数的地八位和高八位分别存于 20H 和 21H 中,把第二个十六位无符号数的地八位和高八位分别存于 30H 和 31H 中,对 20H 和 30H 中的两个低八位进行 ADD 加法操作,结果存于 R4 中;然后对 21H 和 31H 中的两 个高八位进行 ADDC 带进位的加法操作,结果存于 R3 中.然后将累加器 A 清零,并和#00H

数电实验报告1

实验一门电路逻辑功能及测试 一、实验目的 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路的引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1.1置位,分别测出电压及逻辑状态。(表1.1) 输入输出 1 2 3 4 Y 电压(V) H H H H 0 0.11 L H H H 1 4.23 L L H H 1 4.23 L L L H 1 4.23 L L L L 1 4.23

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A ﹑B ﹑Y 接电平显示发光二极管。 (2)将电平开关按表1.2置位,将结果填入表中。 表 1.2 3、逻辑电路的逻辑关系 (1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分别填入表1.3﹑表1.4。 输入 输出 A B Y Y 电压(V ) L L L L 0 0 0 0.16 H L L L 1 0 1 4.18 H H L L 0 0 0 0.17 H H H L 0 1 1 4.18 H H H H 0 0 0 0.17 L H L H 1 1 0.17 输入 输出 A B Y L L 0 L H 1 H L 1 H H 输入 输出 A B Y Z L L 0 0 L H 1 0 H L 1 0 H H 1

数电实验报告

北京邮电大学 数字电路与逻辑设计实验简易电子琴演奏器 班级: 学号: 姓名:

一.设计课题的任务要求 题目五简易电子琴演奏器 原理概述: 根据声乐知识,产生音乐的两个因素是音乐频率的持续时间,音乐的十二平均率规定,每两个八音度之间的频率相差一倍,在两个八音度之间,又可分为12个半音。每两个半音的频率比为4。另外,音名A(乐谱中的低音6)的频率为440HZ,音名B到C之间,E到F之间为半音,其余为全音。由此可以计算出乐谱中从低音1到高音1之间每个音名的频率如下表所示。 基本要求: 1、用8×8点阵显示“1 2 3 4 5 6 7”七个音符构成的电子琴键盘。其中点阵 的第一列用一个LED点亮表示音符“1”,第二列用二个LED点亮表示音符“2”,依此类推,如下图所示。 图1 点阵显示的电子琴键盘 2、用BTN1~BTN7七个按键模拟电子琴手动演奏时的“1 2 3 4 5 6 7”七个 音符。当某个按键按下时,数码管显示相应的音符,点阵上与之对应的音符显示列全灭,同时蜂鸣器演奏相应的声音;当按键弹开时数码管显示的音符灭掉,点阵显示恢复,蜂鸣器停止声音的输出。下图所示为按下BTN3按键时点阵的显示情况。

图2 按键按下后的点阵显示 3、由拨码开关切换选择高、中、低音,并用数码管进行相应的显示。 4、通过按键BTN0进行复位,控制点阵显示图1的初始状态。 提高要求: 1、可通过一个拨码开关进行手动/自动演奏的切换,并与点阵显示配合增加 自动演奏乐曲的功能。 2、增加手动演奏的音符存储、播放功能。 二、系统设计(包括设计思路、总体框图、分块设计) 设计思路 电子琴的设计主要包括了显示与发声部分,发声部分主要分为三个不同的音阶,每个音阶包括了七个音,发生部分可以通过对50M晶振的分频,通过改变分频系数,得到响应频率的时钟脉冲,以这个脉冲控制蜂鸣器发出声音;显示部分则包括了数码管的音阶显示与点阵的琴键显示。数码管可以动态显示出音阶与当前音符,点阵则模拟显示琴键,动态显示实现了一个三角阵,并对应到音符琴键,这两个显示模块可以通过动态显示来实现显示显示不同的内容,配合按键可以实现基本功能。不同的音阶可以通过相应拨码开关的选择来调节,至于显示的复位,则不需要专门设置键位来完成,因为每个转台结束后就会自动转入等待状态。对于音调,乐曲的12平均率规定:每2个八度音之间的频率相差1倍。在2个八度音之间,又可分为12个半音,每2个半音的频率比为12√2。另外,音符A 的频率为440Hz,音符B到C之间、E到F之间为半音,其余为全音。由此可以计算出简谱中从低音1到高音1之间每个音符的频率。而对于节拍,如果将一拍的长度定为1秒,则1/4拍的时间为1/4秒,为其提供一个4Hz的时钟频率即可产生出1/4拍的效果了。若需要半拍,只需将该音符记录两次就可以了。 总体框图

北京交通大学数电报告

国家电工电子实验教学中心 数字电子技术 实验报告 李含笑 15211069 通信1503班

实验二基础实验计数器设计 一、实验目的 1.掌握同步计数器集成芯片74LS193的功能和使用方法。 2.掌握用集成计数器实现任意模数计数器。 3. 用十六进制计数器74LS193设计五进制减计数器(置位法) 二、实验器件 一个74LS193计数器(图2-1),它具有异步清零与异步预置的功能,不受时钟脉冲的影响,一个74LS00与非门芯片 UP为加计数时钟输入端; DOWN为减计数时钟输入端; CLR为清零输入端; BO为借位输出端; CO为进位输出端; LOAD为置数输入端; A~B为并行输入端; Q A~Q D为计数输出端 图2-174LS193芯片管脚图 表2-2 74ls193功能表

三、实验内容和实验原理 74LS193 是一个异步清零,异步预置的可逆计数器,当UP接时钟端(上升沿触发),DOWN 接高电平的时候,实现加法计数,当DOWN接时钟端(上升沿触发),UP接高电平时,实现减法计数。 本实验实现模5减法计数,用预置法,74LS193是异步预置,因此要有6个状态,其中一个为暂存态(过渡态)。预置初值为0100,当其减至1111时,由于最高位接了与非门,因此与非门产生低电平0,送至LD端,LD低电平有效,进行预置,输出变为0100。 四、实验电路原理图 图2-2 实验仿真图 图2-3 实验仿真图

图2-4 五进制减法计数器原理图 五、实验过程 图2-5 图2-6 图2-7图2-8图2-9 六、数据分析和结论 同步计数器与异步计数器的区别在于异步计数器的的每个触发器不是由同一个脉冲来控制的,同步计数器的每个触发器都是由同一个脉冲来控制的

北京科技大学控制实验报告3

实验三 利用MATLAB 进行时域分析 一、实验目的 (1) 学会使用MA TLAB 编程绘制控制系统的单位阶跃响应曲线; (2) 研究二阶控制系统中,ξ、ωn 对系统动态特性和时域指标的影响; (3) 掌握准确读取动态特性指标的方法; (4) 分析二阶系统闭环极点和闭环零点对系统动态性能的影响; (5) 研究三阶系统单位阶跃响应及其动态性能指标与其闭环极点的关系; (6) 研究闭环极点和闭环零点对高阶系统动态性能的影响; (7) 了解高阶系统中主导极点与偶极子的作用; (8) 了解系统阶跃响应、脉冲响应和斜坡响应输出曲线之间的联系与差别。 二、实验原理及内容 1. 求系统的特征根 若已知系统的特征多项式D (s),利用roots ( ) 函数可以求其特征根。若已知系统的传递函数,利用eig ( ) 函数可以直接求出系统的特征根。 2、求系统的闭环根、ζ和ωn 函数damp ( ) 可以计算出系统的闭环根、ζ和ωn 。 3、零极点分布图 可利用pzmap()函数绘制连续系统的零、极点图,从而分析系统的稳定性,调用格式为: pzmap(num,den) 【范例3-1】给定传递函数: 2 72436 4523)(2 345234+++++++++=s s s s s s s s s s G 利用下列命令可自动打开一个图形窗口,显示该系统的零、极点分布图,如图3- 所示。 >> num=[3,2,5,4,6]; den=[1,3,4,2,7,2]; pzmap(num,den) title(1Pole-Zero Map1) % 图形标题。

图3- 1 MATLAB 函数零、极点分布图 4、求系统的单位阶跃响应 step ( ) 函数可以计算连续系统单位阶跃响应(impulse( ) 函数可以计算连续系统单位脉冲响应): step (sys) 或step ( sys , t ) 或step (num , den) 函数在当前图形窗口中直接绘制出系统的单位阶跃响应曲线,对象sys 可以由tf ( ),zpk ( ) 函数中任何一个建立的系统模型。第二种格式中t 可以指定一个仿真终止时间,也可以设置为一个时间矢量(如t =0 : dt : Tfinal ,即dt 是步长,Tfinal 是终止时刻)。 如果需要将输出结果返回到MA TLAB 工作空间中,则采用以下调用格式: c=step(sys) 此时,屏上不会显示响应曲线,必须利用plot()命令查看响应曲线。plot 可以根据两个或多个给定的向量绘制二维图形。 【范例3-2】已知传递函数为:25 425 )(2++= s s s G 利用以下MATLAB 命令可得阶跃响应曲线如图3- 所示。 >> num=[0,0,25]; den=[1,4,25]; step(num,den) grid % 绘制网格线。 title(1Unit-Step Response of G(s)=25/(s^2+4s+25) 1) % 图像标题

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

微机实验报告

微机实验报告 标准化文件发布号:(9312-EUATWW-MWUB-WUNN-INNUL-DQQTY-

第一次实验 实验01 I/O端口地址译码实验 一、实验目的 掌握I/O地址译码电路的工作原理。 二、实验原理 1、实验电路如原理图所示,其中74LS74为D触发器,可直接使用实验台上数字电路实验区的D触发器,74LS138为地址译码器。译码输出端Y0~Y7在实验台上“I/O地址”输出端引出,每个输出端包含8个地址,Y0:280H~287H,Y1:288H~28FH,…… 当CPU执行I/O指令且地址在280H~2BFH范围内,译码器选中,必有一根译码线输出负脉冲。 例如:执行下面两条指令 MOV DX,290H OUT DX,AL(或IN AL,DX) Y2输出一个负脉冲,执行下面两条指令: MOV DX,2A0H OUT DX,AL(或IN AL,DX) Y4输出一个负脉冲。 (II型机) 2. 接线 II型机: I/O 地址/Y2(290H---297H) 接 D 触发器/CLK I/O 地址/Y4(2A0H---2A7H) 接 D 触发器/CD D 触发器/D 接 D 触发器/SD 接+5V D 触发器/Q 接逻辑笔或L7 I型机: I/O 地址/Y4 接 D 触发器/CLK I/O 地址/Y5 接 D 触发器/CD

…… 三、实验内容 利用负脉冲控制L7闪烁发光(亮、灭、亮、灭、……),时间间隔通过软件延时实现。 四、实验内容实现分析 分析实验连接图;说明实现该内容的原理;画出流程图。 五、实验程序 DATA SEGMENT OUTPORT1 EQU 290H OUTPORT2 EQU 2A0H DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码 X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD是什么?

ABCD 接逻辑电平开关。 最简表达式为:X1=AB’C’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

数电实验报告

国家电工电子实验教学中心数字电子技术基础 实验报告 实验题目:中频自动增益控制数字电路的研究 学院:电子信息工程学院 班级: 学生姓名: 学号: 任课教师:骆丽 同组成员: 2015年11月17日

目录 1 设计任务要求 (1) 2 设计方案及论证 (1) 2.1 任务分析 (1) 2.2 方案比较 (3) 2.3 系统结构设计 (5) 2.4 具体电路设计 (8) 3 制作及调试过程 (16) 3.1.1 制作及调试过程 (16) 3.1.2 遇到的问题和解决方法 (17) 3.2.1 仿真过程 (17) 3.2.2 制作及调试过程 (19) 3.2.3 实验结果 (20) 3.2.4 遇到的问题和解决方法 (20) 4 实验研究与思考 (21) 5 总结 (23) 5.1 本人所做工作 (23) 5.2 收获体会 (23) 5.3 对本课程的建议 (23) 6 参考文献 (23)

1 设计任务要求 【实验目的】 1.掌握中频自动增益数字电路设计可以提高学生系统地构思问题和解决问题的能力。 2.通过自动增益数字电路实验可以系统地归纳用加法器、A/D和D/A转换电路设计加法、减法、乘法、除法和数字控制模块电路技术。 3.培养学生通过现象分析电路结构特点,进而改善电路的能力。 【基础实验】 (1)用加法器实现2位乘法电路 (2)用4位加法器实现可控累加(加/减,-9到9,步长为3)电路。最大数字和为两位10进制数18。(要求二进制转化为十进制电路设计不能用模块74185) 【发挥部分】 (1)设计一个电路,输入信号50mV到5V峰峰值,1KHZ~10KHZ的正弦波信号,输出信号为3到4V的同频率,不失真的正弦波信号。精度为8位,负载500Ω。 (2)发挥部分中,若输出成为直流,电路如何更改。 2 设计方案及论证 2.1 任务分析 【基础部分】 用加法器实现2位乘法电路 2位乘法电路是典型的组合电路。设两位二进制分别为A1,A0和B1,B0,输出为S3,S2,S1,S0。根据乘法展开式,如图2-1,要实现2位乘法电路,可以使用与门将两个信号相与来实现乘运算,再通过加法器实现加和运算。最后通过输入高低电平,在数码管上观察乘积结果进行验证。

北科大_液压实验报告

液压控制系统 实验报告 学院:机械工程学院 专业:机械工程及自动化 班级:机自1404 学号:41440001 姓名:丁恒 容:实验五、实验六、实验七

实验五电液位置控制系统建模和特性分析 1.实验目的 1.1 学会使用MATLAB软件分析电液位置控制系统的特性分析,加深对所学知识的理解; 1.2 掌握电液位置控制系统的特点及其校正方法; 1.3 培养应用MATLAB软件进行电液位置控制系统设计的实践能力。 2.实验容与实验原理 见实验指导书。 3.实验方法与步骤 3.1实验设备计算机及MATLAB软件系统。 3.2实验步骤 3.2.1已知卷曲机光电液带材矫偏控制系统工作原理方框图 卷曲机光电液带材矫偏控制系统方框图及传递函数如下 3.2.2 编写系统特性分析程序; 3.2.3 运行系统特性分析程序求出系统的开环伯特图,并根据稳定性条件求出系统的开环增益K; 3.2.4 运行系统特性分析程序并求出系统的闭环伯特图并分析系统的闭环特性; 3.2.5 根据电液位置控制系的特点设计系统的校正环节;

3.2.6 编写系统特性二次建模分析程序; 3.2.7 运行系统特性分析程序求出系统二次建模的开环伯特图和闭环伯特图并分析系统的特性; 3.2.8 完成系统时域特性分析; 3.2.9 完成利用SIMULINK仿真模块对电液位置控制系统的时域响应和频域响应进行仿真分析; 4.实验报告 4.1 绘出系统的开环伯特图,计算系统的开环增益K,分析系统的开环特性; 由系统的开环传函知:K=K K×1.67×10?3 94.5×10?4 =K K×0.1767 l/s 初取K=1 l/s,则系统开环传函为: 1 ---------------------------------------------------------------------------------------------- 2.178e-008 s^5 + 3.454e-006 s^4 + 0.0004238 s^3 + 0.01733 s^2 + s MATLAB程序见G51: G2=tf([1],[1/112^2 2*0.6/112 1]); G3=tf([1],[1/60.5^2 2*0.2/60.5 1 0]); G=G1*G2*G3 bode(G); bode图如下:易得相位裕量89°,增益裕量26.7dB,系统稳定。穿越频率为1rad/s。

数电实验报告

班级:姓名: 学号: 实验报告(一)TTL集成逻辑门的逻辑功能与参数测试1.测试TTL集成与非门74LS20的逻辑功能,测试结果记录如下表: 输入输出 An Bn Cn Dn Yn 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 2. 74LS20主要参数的测试 I CCL (mA) I CCH (mA) I il (mA) I OL (mA) N O= iL OL I I 3. 电压传输特性测试 V i(V) 0 0.4 0.7 0.9 1.0 1.1 1.2 1.3 1.4 2.0 3.0 4.0 … V O(V) 4.画出实测的电压传输特性曲线,并从中读出各有关参数值。

实验报告(二)CMOS 电路 1.用所给的集成电路(CD4007)实现F=ABC ,将实验结果填入真值表中,并测出高、低电平(真值表自拟,测试步骤自拟)。 2. 用所给的集成电路实现F=C B A ++(真值表自拟,测试步骤自拟)。 3. 用所给的集成电路,构成图2-2反相器。 (a )测最大灌电流I OL (V OL =0.1V ,接通图2-2中的虚线框①)。 (b )测最大拉电流I OH (V OH =4.9V,断开虚线框①,接通虚线框②。 4. 构成如图2-3所示的反相器,测最大灌电流I OL 。

实验报告(三)组合逻辑电路实验分析与设计(1) 写出由与非门组成的半加器电路的逻辑表达式 (2) 根据表达式列出真值表,并画出卡诺图判断能否简化 A B Z1 Z2 Z3 S C 0 0 0 1 1 0 1 1 实验: 1.测试由与非门组成的半加器电路的逻辑功能 A B S C 0 0 0 1 1 0 1 1 2.测试用异或门74LS86和与非门74LS00组成的半加器的逻辑功能 A B S C 0 0 0 1 1 0 1 1

数电实验报告

数字电子技术实验报告 学院:工程学院 专业:※※※ 姓名: ※※※ 学号: ※※※ 指导教师:※※ 时间: 2013.12.10

目录 实验一 2位二进制乘法器 (1) 一、实验要求: (1) 二、实验原理: (1) 三、实验分析 (1) 四、实验电路(如图1-7): (4) 五、仿真结果: (4) 六、注意事项: (6) 实验二可控加/减法 (7) 一、实验要求: (7) 二、实验原理: (7) 三、实验分析: (7) 四、实验电路: (11) 五、仿真结果: (13) 六、注意事项: (14) 实验三可控乘/除法 (15) 一、实验要求: (15) 二、实验原理: (15) 三、实验分析: (15) 四、实验电路: (19) 五、仿真结果: (20) 六、注意事项: (21) 实验四模拟信号可控乘除法 (22) 一、实验要求: (22) 二、实验原理: (22) 三、实验分析: (24)

四、实验电路 (26) 五、仿真结果: (27) 六、注意事项: (32) 实验五自动控制增益电路 (33) 一、实验要求: (33) 二、实验原理: (33) 三、实验分析: (34) 四、实验电路: (35) 五、仿真结果: (36) 六、注意事项: (39) 参考文献 (40) 总结 (41)

实验一2位二进制乘法器 一、实验要求: 用加法器实现两位二进制数的乘法。 二、实验原理: 设两位二进制数分别为A1A0和B1B0,就跟我们平常进行手工乘法一样,我们需要列乘式,则它们之间的乘法可用如下计算表示(如图1-1): 图1-1 二进制数间乘法图 所以我们如果实现了两个一位二进制的相乘,再利用加法器就可以得出结果,而两个位二进制的乘法即与运算。 三、实验分析 乘法的相乘利用与门实现,比如74LS08,然后将乘出的结果进行相加可以利用加法实现,比如四位加法器74LS283。 74LS08只是含有4个独立的与门,没有什么需要深入分析的。74LS283是快速进位集成4位加法器,所谓快速进位,是指加法运算过程中,各级进位信号同时送到各位全加器的进位输出端。超前进位加法器使每位的进位直接由加数和被加数产生,而无需等待低位的进位信号。这种加法器比串行进位加法器速度快了不少。74LS283是由4个全加器构成的,全加器的结构图如下图1-2: 1位二进制数和 1位二进制数相加 低位来的进位高位进位 图1-2 全加器的结构图

数电实验报告

《数字电子技术》 实验报告 姓名:*** 班级:****888 学号:2014*******8 指导老师:**** 编制时间:2016.06.10 北京联合大学

实验一基本集成逻辑门电路功能分析 一、实验目的 1.理解TTL和CMOS普通门电路的参数含义。 2.掌握TTL和CMOS普通门电路的使用方法。 3.掌握分析普通门电路逻辑功能的一般方法。 4.理解TTL和CMOS普通门电路参数的一般分析方法。 二、实验元器件 双四输入与非门 74LS00×1片 六反相器 74LS04×1片 电阻 300Ω×1只 三、实验内容 (一)TTL双四输入与非门74LS00功能分析 (1)逻辑功能分析 参考图1.1连接电路。一只74LS00芯片中含有四个相同的2输入与非门,可以随意选用,此处选用的是第一个门电路。检查电路无误时方可通电。 图1.1 与非门逻辑功能测试电路

变换单刀双掷开关J1和J2的状态,用直流电压表测试电路的输出电压,将测试结果记入表1.1中。 表1.1 (2)电压传输特性分析 依照图1.3编辑电路。在0~5V间逐步调整输入的直流电压,将随之变化的输出电压记入表1.2中。 U1A 图1.3 分析与非门电压传输特性仿真电路 表1.2

实验二组合逻辑电路分析与设计 一、实验目的 1.掌握SSI组合电路的基本设计方法。 2.掌握SSI组合电路的基本分析方法。 3.了解排除组合电路故障的一般方法。 二、实验元器件 四异或门 74LS86×1片 双四输入与非门 74LS00×1片 六反相器 74LS04×1片 电阻 300Ω×4只 发光二极管 4只 三、实验内容 (一)分析“三个开关控制一盏灯”电路 根据图2.1所示的引脚接线图连接实验电路。74LS86中有四个异

数电实验报告(含实验内容)

数电实验报告(含实验内容) 班级:专业:姓名:学号:实验一用与非门构成逻辑电路 一、实验目的 1、熟练掌握逻辑电路的连接并学会逻辑电路的分析方法 2、熟练掌握逻辑门电路间的功能变换和测试电路的逻辑功能 二、实验设备及器材 KHD-2 实验台 集成 4 输入2 与非门74LS20 集成 2 输入4 与非门74LS00 或CC4011 三、实验原理 本实验用的逻辑图如图 2-1 所示 图1-1 图1-1 四、实验内容及步骤 1、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-1中,并说明该电路的逻辑功能。 2、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-2中,并说明该电路的逻辑功能。 3、用与非门实现以下逻辑函数式,测试其逻辑功能,

将结果填入表1-3中。 Y(A,B,C)=A’B+B’C+AC 班级:专业:姓名:学号:五、实验预习要求 1、进一步熟悉 74LS00、74LS20 和CC4011 的管脚引线 2、分析图 1-1 (a)、的逻辑功能,写出逻辑函数表达式,并作出真值表。 六、实验报告 1、将实验数据整理后填入相关的表格中 2、分别说明各逻辑电路图所实现的逻辑功能 A B C Z A B C Y 表1-1 表1-2 A B C Y 表1-3 班级:专业:姓名:学号:实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的设计与测试方法 2、进一步熟悉常用集成门电路的逻辑功能及使用 二、实验设备及器材 KHD-2 实验台 4 输入2 与非门74LS20 2 输入4 与非门74LS00 或CC4011

三、实验原理 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路的设计方式。设计组合电路的一般步骤如图2-1 所示。 图 2-1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 四、实验内容及步骤 1、用与非门设计一个数码转换电路,将一个三位二进制码转换成3 位格雷码。即当输入信号为三位二进制代码时其输出为相应的3 位格雷码。要求: 1)分析逻辑功能,作出真值表,写出逻辑表达式。 班级:专业:姓名:学号: 2)简化逻辑表达式,画出逻辑图 3)按逻辑图连接逻辑电路并测试其逻辑功能。 2、用与非门设计一个一位的数值比较器,即比较两个1 位的二进制数A、B 的大小,假定当A>B 时,1 号灯亮,AB 时,1 号灯亮,A

数电实验报告(一)

数字电路实验设计报告

实验名称:组合逻辑研究(一)——QuartusⅡ软件的使用 实验目的: 1.学会使用QuartusⅡ软件,运用该软件设计电路原理图。 2.学会用语言设计电路原理图,并会对设计图进行功能和时序 仿真。 3.学会从QuartusⅡ软件中下载原理图到FPGA,测试电路功能。实验仪器: 1.计算机1台 2.数字电路实验板1块 实验内容: 1.利用软件,用原理图输入的方法实现三变量多数表决器电 路,进行功能和时序仿真,记录仿真波形。 2.利用QuartusⅡ软件,用VHDL文本输入的方法实现一位全加 器电路,进行功能和时序仿真,并下载入FPGA,在试验箱上 测试其电路功能。 设计过程及仿真结果: 1.三变量多数表决器原理图

功能仿真波形 时序仿真波形 2.一位全加器的VHDL语言描述 entity add1 is port( A,B,C: in bit; D,S: out bit ); end add1; architecture one of add1 is begin S<=A XOR B XOR C; D<=((A XOR B) AND C) OR (A AND B); end one;

一位全加器功能真值表 验证其功能 功能仿真波形 时序仿真波形

实验结果分析: (1)由仿真结果可以看出,三变量多数表决器电路原理图及一位全加器的VHDL语言描述正确。 (2)由仿真结果可知,功能仿真时对信号的输入没有延迟,而时序仿真时,当多个输入信号在同一时刻处同时发生变化时,此时电路存在竞争,会有冒险,故从仿真图上可以看到毛刺。

相关文档
相关文档 最新文档