文档库 最新最全的文档下载
当前位置:文档库 › 基于FPGA的拔河游戏机设计

基于FPGA的拔河游戏机设计

基于FPGA的拔河游戏机设计
基于FPGA的拔河游戏机设计

目录

摘要 ............................................................................................................................................. II Abstract ........................................................................................................................................... III 第一章工程背景.. (1)

一、EDA技术与发展 (1)

(一)大规模可编程器件 (1)

(二)硬件描述语言 (2)

(三)软件开发工具 (2)

(四)实验开发工具 (3)

(五)EDA的发展前景 (3)

二、VHDL的简介 (4)

三、Max+Plus II的简介 (6)

第二章设计原理 (7)

一、组合逻辑电路的设计方法 (7)

(一)组合逻辑电路的分类 (7)

(二)组合逻辑电路的设计方法与缺点 (7)

(三)组合逻辑电路的VHDL设计方法 (8)

二、FPGA的介紹 (9)

(一)产品设计 (10)

(二)FPGA在电路设计中的应用 (10)

(三)系统级应用 (10)

三、CPLD的介绍 (11)

四、CPLD于FPGA的关系 (12)

第三章系统设计与实现 (13)

一、设计准备 (13)

二、设计构思 (13)

(一)设计要求 (13)

(二)功能分析 (14)

(三)项目设计方案 (14)

(四)系统的方案流程图: (15)

三、VHDL设计 (15)

(一)频率计数模块 (15)

(二)灯移模块 (16)

(三)数码管扫描模块 (17)

四、编译与仿真 (20)

(一)频率计数模块仿真 (20)

(二)灯移模块仿真 (21)

(三)数码管扫描模块仿真 (22)

五、实验调试结果 (22)

设计过程的心得体会 (23)

致谢 (24)

参考文献 (25)

摘要

随着现代社会的发展,现在的游戏是越来越多样化,拔河现在不仅仅用一个绳子来比赛也科技用电子来模拟,使游戏变的更加有有趣味。

本次设计使用 Quartus II工具来设计拔河游戏机的实现。其主要是实现拔河游戏的功能,其中分为两大模块,即分为玩家输入模块和控制模块。通过双方按键的快慢多少来进行比较,己方按一次加一,对方按一次减一,知道有一方累计加到四次方为赢。

关键字:FPGA ;拔河;波形图;ASM图

Abstract

With the development of science and technology, now the game is more and more diversified, the tug of war is now not only used a rope to match technology with electronic simulation, the game becomes more interesting.

The design and implementation using the Quartus II tool to design the tug-of-war game. The main function is the tug-of-war game function, which is divided into two modules, namely the game player input module and control module. Through both keys to compare your speed, according to one plus one, the other by one minus one, know that there is a cumulative four party to win.

Keywords: FPGA; tug of war; waveform diagram; ASM diagram

第一章工程背景

一、EDA技术与发展

EDA技术是现代电子信息工程领域的一门新技术,同时也是现代电子设计技术和电子制造技术的核心。EDA技术是依赖功能强大的计算机,在EDA工具软件平台上开发出来的一整套电子系统设计的软硬件工具,并提供了先进的电子系统设计方法。

近三十年来, EDA技术的发展大致经历了三个阶段:

CAD阶段(20世纪70年代~80年代中期)

这一阶段分别研制了一些单独的软件工具,人们开始利用计算机取代手工劳动,辅助进行集成电路版图编辑,PCB布局布线等工作。

CAE阶段(20世纪80年代中期~90年代初)

这一阶段在集成电路与电子系统设计方法学以及设计工具集成化方面取得了很多成果。

CPLD的推广与FPGA的出现,特别是各种硬件描述语言的出现、应用和标准化方面的重大进步为EDA的发展奠定了基础。

EDA阶段(20世纪90年代至今)

这一阶段硬件描述语言的标准化得到进一步确立,从而出现了以高级语言描述、系统仿真和综合技术为特征的第三代EDA技术。它大大提高了设计效率,使设计者从摆脱了大量的辅助性工作,将精力集中于创造性的方案与概念的理解上。

未来EDA技术将向深度和广度两个方面发展,有专家认为,21世纪将是EDA 技术快速发展的时期,并且EDA技术将是对21世纪产生重大影响的十大技术之一。

一般情况下,利用EDA技术进行电子系统设计,最终目标是完成专用集成电路ASIC的设计和实现。基于可编程器件EDA技术主要包括如下四个要素:

(一)大规模可编程器件

可编程逻辑器件(PLD,Programmable Logic Device)即部分功能可由软件程序更改的器件。它是当前数字系统设计的主要硬件基础,是硬件编程语言VHDL 的物理实现工具。可编程逻辑器件使用计算机,利用软件,硬件对器件进行系列

编程,然后通过程序指挥芯片配置连线和编程器件,把应连接的元件,单元连接起来。根据用户编写的不同程序就可以制造出有不同电路功能的器件。并在设计阶段进行仿真(Emulation)使得微电子设计实现了早期集成和软硬件联合验证。

CPLD实际是一个子系统部件,具有可编程性和实现方案容易改动的特点。它具有更高的集成度,它允许更多的输入信号,乘积和宏单元。CPLD内含多个I/O 块和逻辑块。这些逻辑块可以使用可编程内连线的布线来实现相互间联系。每一个逻辑块就相当于一片220V10和236V18的PLD。有乘积阵列,乘积项分配机构和宏单元。I/O块通常具有逻辑功能和较强的I/O控制功能。目前大部分CPLD 器件还具有兼容于IEEE1149.1(JTAG)标准测试激励端和边界扫描能力,以及兼容于PCI接口协议的输入输出缓冲器。

(二)硬件描述语言

硬件描述语言(HDL)是一种用文本形式来描述和设计电路的语言,它可以使电子系统设计者利用这种语言来描述自己的设计思想和电子系统的行为并建立模型,然后利用EDA工具进行仿真,自动综合到门级电路,可用ASIC或CPLD/FPGA实现其功能。目前其中最有代表性的是美国国防部开发VHDL

(Very-High-Speed Integrated Circuit HDL),Verilog公司开发的Verilog HDL。HDL(IEEE1164)和Verilog HDL(IEEE1364)被IEEE列为工业标准,被众多EDA工具所支持,在电子工程领域,已成为事实上通用的HDL。将担负几乎全部的数字系统设计任务。

VHDL主要用于描述数字系统的结构,行为,功能和接口。VHDL语言形式及描述风格与句法类似于一般的计算机高级语言。VHDL的程序结构特点是,将一项工程设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(即端口)或内部。在对一个设计实体定义了外部界面后,一旦其内部开发完成,其它设计就可以直接调用这个实体。这种设计实体分成内外部分的概念就是VHDL系统设计的基本点。

(三)软件开发工具

MAX+PLUS II是Altera公司的第三代CPLD开发工具软件,它集成了可编程逻辑器件的设计环境。它可以在多种平台上运行提供了灵活和高效的界面。设计者无需精通器件内部的复杂结构,只需用自己熟悉的设计输入工具(原理图,波形图或硬件描述语言等)进行设计输入。MAX+PLUS II将这些设计软件换成目标结构所要求的格式,从而使设计者能够轻松的掌握和使用MAX+PLUS II软件。

MAX+PLUS II具有以下特点:①开放的接口。②与结构无关(指VHDL描述逻辑综合前与结构无关)。③多平台。④完全集成化。⑤多种设计库。⑥模块化工具。⑦硬件描述语言(HDL)。⑧开放核的特点。⑨Megacore功能。

(四)实验开发工具

利用EDA技术进行电子系统设计的下载与硬件验证工具,主要包括:①实验或开发所需的各类信号模块,包括时钟、脉冲、高低电平等;②通用数字式和扫描驱动类接口,包括各类输入显示或指示模块;③模拟器件及接口,包括模拟信号的放大,比较及A/D转换模块;④监控程序模块;⑤目标芯片适配座以及FPGA/CPLD目标芯片和编程下载电路。

当前,EDA的主要应用方向为微控制器,ASIC和DSP等方面。

(五)EDA的发展前景

二十世纪后半期,随着集成电路和计算机技术的飞速发展,数字系统也得到了飞速发展,其实现方法经历了由分立元件,SSI,MSI到LSI,VLSI以及UVLSI 的过程。同时,为了提高系统的可靠性与通用性,微处理器和专用集成电路(ASIC)逐渐取代了通用全硬件LSI电路,而在这二者中,ASIC以其体积小,重量轻,功耗低,速度快,成本低,保密性好而脱颖而出,总的说来,ASIC的制作可粗略地分为掩膜方法和现场可编程方法两大类。目前,业界大量可编程逻辑器件(PLD),尤其是现场可编程器件(FPLD)被大量的应用在ASIC的制作中。在可编程集成电路的开发过程中,电子设计自动化(EDA)技术应运而生。EDA 技术的出现,不仅为了电子系统的设计带来了一场革命性的变化,从某种角度来说,也成为其发展的必然。

EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次设计(High-Lever-Design)方法[1]。

10多年前,电子设计的基本思路还是选用标准集成电路“自底向上”地构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。自顶向下法是一种从系统抽象定义到具体电路实现,从高层到低层直至具体逻辑电路,逐步由粗到细的分层次、分模块的设计方法。当今,自顶向下的设计方法已经是EDA技术的首选设计方法。

应用VHDL 进行自顶向下的设计方法,利用功能分割手段将设计由上到下进行层次化和模块化分割,也就是使用VHDL 模型在所有综合级别上对硬件设计进行说明、建模和仿真测试。其分割过程为:系统功能→功能块→逻辑块→具体电路。这种设计方法是逐步逼近具体电路。采用这种设计方法将系统分割为不同的功能模块后,每个模块可以用不同的工作小组来完成,这样就提高了产品开发的效率。

自顶向下的设计流程如图1.1所示:

图1.1 自顶向下的设计流程图

未来EDA 技术将向深度和广度两个方面发展,有专家认为,21世纪将是EDA 技术快速发展的时期,并且EDA 技术将是对21世纪产生重大影响的十大技术之一

[2-3]。

二、VHDL 的简介

在传统的硬件电路设计中,主要的设计文件是电路原理图,而采用硬件描述语言(Hardware Describe Language ,HDL)设计系统硬件电路时主要使HDL 编写源程序。所谓硬件描述语言,是一种用形式化方法来描述数字电路和设计数字逻辑系统的计算机语言。它可以使数字逻辑电路设计者用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,利用这种语言来描述自己的设计思想,然后利用 EDA 工具进行仿真,自动综合到门级电路,再用ASIC 或CPLD/FPGA 实现其功能。目前这种称之为高层设计的方法已被广泛采用。据统计,在美国硅谷目前约有80%的ASIC 和FPGA/CPLD 使采用HDL 方法设计的。

11硬件测试 1设计说明书

2建立VHDL 行为

3VHDL 行为仿真

4VHDL-RTL 级建5前段功能仿真 6 逻辑综合 7测试向量生成 8功能仿真

10 门级时序仿真 9结构综合

设计完成

硬件描述语言的发展至今已有二十多年的历史,并成功地应用于设计的各个阶段:建模、仿真、验证和综合等。到20世纪80年代时,已出现了上百种硬件描述语言,如ABEL、HDL、AHDL,它们对设计自动化曾起到了极大的促进和推动作用,与传统的门级描述方式相比,它更适合大规模系统的设计。但是,这些语言由不同的EDA厂商开发,互不兼容,一般各自面向特定的设计领域与层次,不支持多层次设计,层次间翻译工作要由人工完成,而且众多的语言使用户无所适从。因此急需一种面向设计的多领域、多层次、并得到普遍认同的标准硬件描述语言。进入80年代后期,硬件描述语言向着标准化的方向发展。1985年美国国防部正式推出了高速集成电路硬件描述语言VHDL(Very-High-Speed Integrated Circuit Hardware Description Language),VHDL和Verilog HDL 语言适应了这种趋势的要求,先后成为IEEE标准[4-5]。

VHDL诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL与Verilog语言将承担起大部分的数字系统设计任务[6]。

VHDL语言可读性强,易于修改和发现错误,覆盖面广,描述能力强,能支持硬件的设计,验证,综合和测试,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流和行为三种描述形式的混合描述,因此VHDL 几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成[7]。

VHDL还具有以下优点:

(1)VHDL的宽范围描述能力使它成为高层次设计的核心,将设计人员的工作重心提高到了系统功能的实现与调试,而花较少的精力于物理实现。

(2)VHDL可以用简洁明确的代码描述来进行复杂控制逻辑的设计,灵活且方便,而且也便于设计结果的交流、保存和重用。

(3)VHDL的设计不依赖于特定的器件,方便了工艺的转换。

(4)VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好[8-10]。

三、Max+Plus II的简介

MAX+PLUSII是Altera公司在Windows环境下开发的可编程逻辑设计软件平台。它可以在多种平台上运行,提供了灵活和高效的界面。设计者无需精通器件内部的复杂结构,只需用自己熟悉的设计输入工具(原理图,波形图或硬件描述语言等)进行设计输入。

MAX+PLUSII将这些设计软件换成目标结构所要求的格式,从而使设计者能够轻松的掌握和使用MAX+PLUSII软件。MAX+PLUSII具有以下特点:①开放的接口。②与结构无关(指VHDL描述逻辑综合前与结构无关)③多平台④完全集成化⑤多种设计库⑥模块化工具⑦硬件描述语言(HDL)⑧开放核的特点,允许设计人员添加自己的宏函数⑨Megacore功能(Megacore是为复杂的系统及功能提供的、经过校验的HDL网表文件,能使器件实现最优化设计。

MAX+plusⅡ软件的设计流程如图1.2所示。设计输入有四种方式:原理图输入、文本输入、EDIF网表输入及波形输入方式。文本输入是采用硬件描述语言程序作为输入,建立VHDL行为模型。编译是主要完成器件的选择及适配、逻辑的综合及器件的装入、延时信息的提取。设计仿真指只需通过计算机就能对所设计的数字系统从各种不同层次的系统性能特点完成一系列准确的测试与仿真操作。器件编程是对设计进行下载即将编程数据放到具体的可编程器件中,以便进行硬件调试和验证。

设计输入

设计修改

项目编译

设计仿真

器件编程

硬件下载测试

图1.2 软件的设计流程图

第二章设计原理

一、组合逻辑电路的设计方法

所谓组合逻辑电路就是在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路。在VHDL设计中,组合逻辑电路通常写成逻辑图(卡诺图),然后转化成真值表。通过真值表来控制输入输出状态。

(一)组合逻辑电路的分类

根据数字电路中输入输出的函数关系,组合逻辑电路可以由逻辑代数和卡诺图(如图2.1)来分析和设计。

组合逻辑电路有以下特点:

(1)输入,输出之间没有反馈延迟通路。

(2)电路中不含记忆单元。

图2.1 卡诺图

(二)组合逻辑电路的设计方法与缺点

组合逻辑电路的设计,通常以电路简单,所用器件最少为目标。在前面所介绍的用代数法和卡诺图法来化简逻辑函数,就是为了获得最简的形式,以便能用最少的门电路来组成逻辑电路。但是,由于在设计中普遍采用中,小规模集成电

路产品,因此应根据具体情况,尽可能减少所用的器件数目和种类,这样可以组装好的电路结构紧凑,达到工作可靠而且经济的目的。

组合逻辑电路的设计步骤是:

(1)根据对电路逻辑功能的要求,列出真值表;

(2)由真值表写出逻辑表达式;

(3)简化和变换逻辑表达式,从而画出逻辑图;

(4)编写组合逻辑电路设计程序。

这种设计方法的缺点是:

实际上,从信号输入到稳定输出需要一定的时间。由于从输入到输出的过程中,不同通路上门的级数不同或者门电路平均延迟时间的差异,使信号从输入经不同通路传输到输入级的时间不同。由于这个原因,可能会使逻辑电路产生错误的输出。通常把这种现象称为竞争冒险。

而我们在这个设计中利用VHDL来编程,就可以惊醒系统行为描述,可以从逻辑行为上对模块进行描述和设计,大大降低了设计难度;描述的设计思想,电路结构和逻辑关系清晰明了,便于存档,查看,维护和修改,支持大规模设计的分解和已有设计的再利用。这也是VHDL之所以流行和我们选择它来进行设计的原因。

(三)组合逻辑电路的VHDL设计方法

现代数字系统的设计采用基于EDA(Electronic Design Automation)技术的自顶向下(Top To Down)的设计方法,即从整个系统的功能出发,自顶向下将系统划分成若干功能模块或子系统。

一般的数字系统(或子系统)可以划分为受控器(又称数据子系统)和控制器(又称控制子系统)。受控器主要完成数据的采集、存储、运算处理和传输,在控制器发出的控制信号下进行,同时又反馈信号给控制器。控制器是执行算法的核心,是我们设计工作的首要任务,其控制功能可以用逻辑电路来实现,在EDA 技术平台上,用VHDL设计,经过寄存器传输级(RTL)模拟验证后综合形成门级网表后,再进行门级仿真。

用VHDL描述真值表一般需要两个进程:一个是时钟进程,控制状态机在时钟有效沿根据条件得到下一个状态并进行状态迁移;另一个进程是组合进程,不受时钟控制,由输出相关的信号触发,该进程根据触发信号决定组合逻辑电路的输出状态值。

有时也采用三个进程模型来描述真值表,把时钟进程中形成下一个状态的功能分离出来,单独作为一个组合进程。该模型中,状态的迁移比2个进程的模型

延迟一个节拍,而且最后综合出来的电路也稍大,但其优点是在描述复杂真值表时,3个进程模型的可读性好,修改比较方便。

在VHDL设计中,可以不需要进行繁琐的时钟脉冲产生等步骤,可以简便地定义状态变量,将状态描述成进程,这个进程可以传出信号来控制其他进程,从而实现各种功能。应用VHDL进行逻辑电路设计的具体步骤如下:(1)根据系统要求确定状态数量输入件和各状态输出信号的,并画出画出卡诺图;

(2)化简卡诺图化简成为最简单的逻辑关系

(3)按照逻辑关系编写真值表的VHDL 设计程序;

(4)利用EDA 工具对组合逻辑电路的功能进行仿真验证。

在VHDL语言中,组合逻辑电路的真值表通常用枚举型数据进行定义,每个状态均可表达为CASE_WHEN语句结构中的一条CASE语句,其输出组合逻辑可以用并行信号赋值语句或独立的进程描述,生成的硬件电路较简单,也就是节省硬件资源。

无论与基于VHDL的其他设计方案相比,还是与可完成相同功能的CPU相比,组合逻辑电路都有难以超越的优越性,主要表现在以下几个方面:(1)控制灵活方便;

(2)结构模式相对简单,设计方案相对固定,以及可定义符号化枚举类型的状态,对发挥VHDL综合器强大的优化功能提供了有利条件;

(3)组合逻辑电路容易构成性能良好的逻辑模块,不受前一状态的影响

(4)组合逻辑电路系统由纯硬件电路构成,它的运行不依赖软件指令的逐条执行,而且在它的设计中可使用完整的容错技术,所以组合逻辑电路具有极高的可靠性。

编程完成后将程序下载到CPLD芯片上,就完成了数字系统的设计[14-15]。

二、FPGA的介紹

目前以硬件描述语言(Verilog 或 VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA 里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip-flop)或者其他更加完整的记忆块。系统设计师可以根据需要通过可编辑的连接把FPGA内部的逻辑块连接起来,就好像一个电路试验板被放在了一个芯片里。一个出厂后的成品FPGA的逻辑块和连接可以按照设计者而改变,所以FPGA可以完成所需要的逻

辑功能。FPGA一般来说比ASIC(专用集成芯片)的速度要慢,无法完成复杂的设计,而且消耗更多的电能。但是他们也有很多的优点比如可以快速成品,可以被修改来改正程序中的错误和更便宜的造价。厂商也可能会提供便宜的但是编辑能力差的FPGA。因为这些芯片有比较差的可编辑能力,所以这些设计的开发是在普通的FPGA上完成的,然后将设计转移到一个类似于ASIC的芯片上。另外一种方法是用CPLD(复杂可编程逻辑器件备)。

(一)产品设计

把相对成熟的技术应用到某些特定领域如通讯,视频,信息处理等等开发出满足行业需要并能被行业客户接受的产品这方面主要是FPGA技术和专业技术的结合问题,另外还有就是与专业客户的界面问题产品设计还包括专业工具类产品及民用产品,前者重点在性能,后者对价格敏感产品设计以实现产品功能为主要目的,FPGA技术是一个实现手段在这个领域,FPGA因为具备接口,控制,功能IP,内嵌CPU等特点有条件实现一个构造简单,固化程度高,功能全面的系统产品设计将是FPGA技术应用最广大的市场,具有极大的爆发性的需求空间产品设计对技术人员的要求比较高,路途也比较漫长不过现在整个行业正处在组建"首发团队"的状态,只要加入,前途光明产品设计是一种职业发展方向定位,不是简单的爱好就能做到的!产品设计领域会造就大量的企业和企业家,是一个近期的发展热点和机遇。

(二)FPGA在电路设计中的应用

连接逻辑,控制逻辑是FPGA早期发挥作用比较大的领域也是FPGA应用的基石.事实上在电路设计中应用FPGA的难度还是比较大的这要求开发者要具备相应的硬件知识(电路知识)和软件应用能力(开发工具)这方面的人才总是紧缺的,往往都从事新技术,新产品的开发成功的产品将变成市场主流基础产品供产品设计者应用在不远的将来,通用和专用IP的设计将成为一个热门行业!搞电路设计的前提是必须要具备一定的硬件知识.在这个层面,干重于学,当然,快速入门是很重要的,越好的位子越不等人电路开发是黄金饭碗.

(三)系统级应用

系统级的应用是FPGA与传统的计算机技术结合,实现一种FPGA版的计算

机系统如用Xilinx V-4, V-5系列的FPGA,实现内嵌POWER PC CPU, 然后再配合各种外围功能,实现一个基本环境,在这个平台上跑LINUX等系统这个系统也就支持各种标准外设和功能接口(如图象接口)了这对于快速构成FPGA大型系统来讲是很有帮助的。这种"山寨"味很浓的系统早期优势不一定很明显,类似ARM系统的境况但若能慢慢发挥出FPGA的优势,逐渐实现一些特色系统也是一种发展方向。若在系统级应用中,开发人员不具备系统的扩充开发能力,只是搞搞编程是没什么意义的,当然设备驱动程序的开发是另一种情况,搞系统级应用看似起点高,但不具备深层开发能力,很可能会变成爱好者,就如很多人会做网页但不能称做会编程类似以上是几点个人开发,希望能帮助想学FPGA但很茫然无措的人理一理思路。这是一个不错的行业,有很好的个人成功机会。但也肯定是一个竞争很激烈的行业,关键看的就是速度和深度当然还有市场适应能力。

三、CPLD的介绍

可编程逻辑器件(Programmable Logic Device ,PLD)是当前数字系统设计的主要硬件基础,是硬件编程语言VHDL的物理实现工具。可编程逻辑器件对数字系统设计自动化起着推波助澜的作用,可以说,没有可编程逻辑器件就没有当前的数字电路自动化。

所谓可编程逻辑器件(Programmable Logic Device,PLD)即部分功能可由软件程序更改的器件。可编程逻辑器件使用计算机,利用软件,硬件对器件进行系列编程,然后通过程序指挥芯片配置连线和编程器件,把应连接的元件,单元连接起来。根据用户编写的不同程序就可以制造出有不同电路功能的器件。并在设计阶段进行仿真(Emulation)使得微电子设计实现了早期集成和软硬件联合验证。

可编辑器件即部分功能可由软件程序更改的器件,主要有Xilinx公司的FPGA和Altera公司的CPLD器件系列,它们开发较早,占用了较大的PLD市场。FPGA/CPLD与其他ASIC相比,它们不仅可以解决使电子系统小型化,低功耗,高可靠性等问题,而且其设计开发周期短,开发软件投入少,芯片价格不断降低,设计制造成本低,开发工具先进,标准产品无需测试,质量稳定以及可实时在线检验等优点。

CPLD实际是一个子系统部件,具有可编程性和实现方案容易改动的特点。它具有更高的集成度,它允许更多的输入信号,乘积和宏单元。CPLD内含多个I/O块和逻辑块。这些逻辑块可以使用可编程内连线的布线来实现相互间联系。每一个逻辑块就相当于一片220V10和236V18的PLD。有乘积阵列,乘积项分配机构和宏单元。I/O块通常具有逻辑功能和较强的I/O控制功能。目前大部分CPLD

器件还具有兼容于IEEE1149.1(JTAG)标准测试激励端和边界扫描能力,以及兼容于PCI接口协议的输入输出缓冲器,这种芯片正受到世界电子工程设计人员的欢迎。本设计中使用EPM7128SLC84-15芯片来下载程序。

四、CPLD于FPGA的关系

早在1980年代中期,FPGA已经在PLD设备中扎根。CPLD和FPGA包括了一些相对大数量的可以编辑逻辑单元。CPLD逻辑门的密度在几千到几万个逻辑单元之间,而FPGA通常是在几万到几百万。CPLD和FPGA的主要区别是他们的系统结构。CPLD是一个有点限制性的结构。这个结构由一个或者多个可编辑的结果之和的逻辑组列和一些相对少量的锁定的寄存器。这样的结果是缺乏编辑灵活性,但是却有可以预计的延迟时间和逻辑单元对连接单元高比率的优点。而FPGA 却是有很多的连接单元,这样虽然让它可以更加灵活的编辑,但是结构却复杂的多。CPLD和FPGA另外一个区别是大多数的FPGA含有高层次的内置模块(比如加法器和乘法器)和内置的记忆体。一个因此有关的重要区别是很多新的FPGA 支持完全的或者部分的系统内重新配置。允许他们的设计随着系统升级或者动态重新配置而改变。一些FPGA可以让设备的一部分重新编辑而其他部分继续正常运行。

第三章系统设计与实现

一、设计准备

本次设计中,首先做了如下准备工作:

查阅了大量的中英文文献,对国内外CPLD/FPGA应用技术和状态机的描述方法及当前最先进的硬件描述语言和可编程ASIC的应用及发展现状有了一定的了解,为本次设计提供了许多有益的启示。

深入地研究了组合逻辑电路的特点,并细致分析了各种实现控制的特征,从而总结出选择组合逻辑电路最佳描述方式的方法,为用CPLD/FPGA进行拔河游戏机设计的硬件实现打下了一个坚实的基础。重点深入的学习了CPLD/FPGA的物理结构和特征。弄清其中的硬件资源数量和特点及其使用方法与有关注意事项。为充分、有效地利用器件资源做好了准备工作。

学习了VHDL语言的基本知识和使用它来设计数字系统硬件电路的基本方法、基本设计思想,为灵活的设计和开发奠定了基础。

认真的学习和掌握了MAX+PLUSⅡ软件。

二、设计构思

(一)设计要求

拔河游戏机设计的要求如下:

拔河游戏机是一种能容纳甲乙双发参加游戏的电路,由一排发光的二极管标示拔河的绳,又甲方双方按钮开关时发光二极管向乙方延伸,当延伸到二极管的终点时,则该方胜。

设计一个能进行拔河游戏机的电路

电路使用7个发光二极管,开始时只有中间一个二极管发光,这是拔河的终点。

游戏双方在两边各持一个按钮,游戏开始后,都不停的按动按钮,谁按得快。亮点就像谁得方向移动,按动一次,移动一次,亮点移动到乙方得终端二极管时,这一方获胜,此时双方得按钮都无效,只有复会后才能使亮点回到中心。由裁判下达比赛开始命令后,甲乙双方才能输入信号,否则,输入信号无效。用数码管显示获胜者的盘数,每次比赛结束自动给获胜方加分。

(二)功能分析

拔河游戏机的主要功能就是能够对比赛双方的按键次数进行计数,然后通过比较同等时间内按键的次数,利用LED电子绳来表示当前按键情况。复位键主要使电子绳led的位置复位和计数器的值进行初始化,1个led灯为有一方胜利指示灯。再用开发板上的3位共阳数码管来显示双方比分。

(三)项目设计方案

我们通过使用八盏LED灯来表示,其中前七盏表示拔河过程,剩下的一盏作为报警使用。开始时拔河的中心在第四盏灯,当按下开始按钮的时候比赛双方才能开始比赛,比赛双方通过按按键来进行比赛,每按一下灯就前进一下,直到灯到一方的尽头比赛才算结束。再用开发板上的3位共阳数码管来显示双方比分。

由要实现的功能分析主要是对按键相方有效按键次数进行计数,再比较同一个时刻双方按键次数的差来确定比赛的实时状况;然后通过显示模块在硬件中显示实时情况,同时当led到达最边一个时,产生一个始能信号,使计数器停止计数,并保持输出;输入需要对比赛相方的按键进行消抖,增加比赛公平。主要软件模块为分频模块,按键计数模块,消抖模块,比较模块电子绳移动控制模块,数码管动态显示模块。

(四)系统的方案流程图:

图3.1系统的方案流程图

三、VHDL设计

利用VHDL硬件描述语言,对拔河游戏机控制逻辑电路进行设计如下:(一)频率计数模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY cnt10 IS

PORT(clk,rst,en: in STD_LOGIC;

cout:OUT STD_LOGIC;

cq:OUT STD_LOGIC_VECTOR( 2 DOWNTO 0));

END ENTITY cnt10;

ARCHITECTURE ONE OF cnt10 IS

BEGIN

PROCESS(clk, rst,en)

V ARIABLE cqi:STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

IF rst = …1? THEN

cqi:=(OTHERS=>?0?);

ELSIF clk?EVENT AND clk = …1? THEN

IF en = …1? THEN

IF cqi <3 THEN cqi:= cqi +1;

ELSE cqi:= (OTHERS => …0?);

END IF;

END IF;

END IF;

IF cqi = 3 THEN cout <= …0?;

ELSE cout <= …1?;

END IF;

cq:<=cqi;

END PROCESS;

END;

说明:定义IEEE库,使用STD_LOGIC_1164和STD_LOGIC_UNSIGNED包集合。定义器件的输入输出口字母用小写。IF rst =‘1’THEN cqi:=(OTHERS=>‘0’)是计数器异步复位,ELSIF clk’EVENT AND clk =‘1’THEN 是检验时钟上升沿,IF en =‘1’THEN表示检测是否允许计数,IF cqi< 3 THEN cqi:= cqi +1表示允许计数,检测是否小于9,ELSE cqi:= (OTHERS =>‘0’),表示大于9,计数值清零。IF cqi = 3 THEN cout <= ‘0’表示计数大于9,输出进位信号。

(二)灯移模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY Imov IS

PORT(kI,kr: IN STD_LOGIC_VECTOR(2 DOWNTO 0);

led:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

en:OUT STD_LOGIC;

rst:STD_LOGIC);

拔河游戏机的设计与制作报告

《数字电子技术》课程设计报告拔河游戏机设计与制作 设计要求: 拔河游戏机需用9个发光二极管排列成一行,开机后只有中间一个发亮, 以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产 生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到 任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保 持,只有经复位后才使亮点恢复到中心线。 设计人:夏凯强学 号: 7 专业:计算机班 级: 09<1> 班 成绩:评阅人: 安徽科技学院理学院 拔河游戏机的设计与制作 拔河游戏机需用9个发光二极管排列成一行,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 一、设计要求 (一)设计指标 (1)初始9个发光二极管排列成一行,开机后只有中间一个点亮; (2)游戏双方各持有一个按键,按键按动一次,亮点有可能移动一次; (3)亮点移动的方向与按键快的一方一致; (4)当任一方终端二极管点亮时,这一方就得胜,再按键电路输出不变;

(5)按复位后,回到初始状态 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 1.拔河游戏机的构成 本次拔河游戏机的主要设计思路是让电平指示灯由中点向速度快的一方延伸,而阻止向速度慢的一方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向右方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点时就把电路锁定,此时双方按键均无作用,只有按了复位按键双方才能继续下一局的比赛,计数器就记录双方的获胜的次数,数码管显示胜者赢的盘数。 图1 拔河游戏机总体结构图 2.整形电路的设计 CC40193是可逆计数器,控制加减的CP脉冲分别加至和CP D和CP U,此时当电路要 求进行加法计数时,减法输入端CP D 必须接高电平;进行减法计数时,加法输入端CP U 也必须接高电平,若直接由A、B键产生的脉冲加到CP U 和CP D ,那么就有很多时机在 进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使从A、B按键出来的脉冲经整形后变为一个占空比很大的脉冲,这样就减少了进行某一计数时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数。整形电路由与门CC4081和与非门CC4011构成。 3.编码电路 编码器有二个输入端,四个输出端,要进行加 / 减计数,因此选用CC40193双时钟十进制同步加 / 减计数器来完成。其电路及连接方式如下: 4.整形电路 由与门CC4081和与非门CC4011构成整形电路。起初,由两片CC4011构成一RS 触发器,它有两个输入端R、S和两个输出端Q、Q。当R=1、S=0时,则Q=0,Q=1,触发器置1。当R=0、S=1时,则Q=1,Q=0,触发器置0,当触发器的两个输入端加入不同逻辑电平时,它的两个输出端Q和Q有两种互补的稳定状态。S=0,R=1使触发器置1,或称置位。因置位的决定条件是S=0,故称S 端为置1端。R=0,S=1时,使触发器置0,或称复位。同理,称R端为置0端或复位端。经RS触发器 后再经与门和非门,以达到整形的目的。 因CC40193是可逆计数器,控制加减的CP脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时,减法输入端CPD必须接高电平;进行减法计数时,加法输入端CPU也必须接高电平,若直接由A、B键产生的脉冲加到5脚或4脚,就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使原先加的脉冲

电子拔河游戏机 EDA 实验

安徽财经大学 电子拔河比赛游戏机的设计 姓名:陈辉、胡安宁、郭真真、朱晓庆 学号:2010830044、2010830027、2010830018、2010830043 学院:管理科学与工程学院 专业:电子信息工程 指导老师:于帅珍 设计时间:2013年5月

目录 一、设计任务以及要求--------------------------------------- 4 二、总体框图-------------------------------------- --------------4 三、选择器件---------------------------------------- ------------5 四、功能模块-------------------------------- --------------------10 五、总体设计-------------------------------------- --------------16

一、?设计任务以及要求 1. 设计一个模拟拔河游戏机比赛的逻辑电路。 2. 电路使用15个电平指示灯排成一排,开机后只有中间一个点亮,以此作 为拔河的中心线。 3. 比赛双方各持一个按键,迅速不断的按动产生脉冲,谁按得快,亮点向谁 方向移动。每按一次,亮点移动一次。 4.移动到任何一方终端指示灯点亮,这一方得胜,此时双方按键均无作用,输出保持,只有经裁判按动复位后,恢复到中心线。 5.显示器显示胜者的盘数。 二、总体框图 1. 设计方案: (1)本课题所设计的拔河游戏机由15电平指示灯排列成一行,开机 之后只有中间一个电平指示灯亮,以此作为拔河的中心线。可逆计数器原始状态为0000,经译码后输出中间的电平指示灯亮。游戏双方各持一个按键,迅速地、不断地按动产生脉冲信号,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加减脉冲无效。同时,使计分电路自动加分。当两人比赛结束后,裁判可以让计分显示器清零。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加 减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2. 电路原理图:

数字电子技术基础课程设计——拔河机计数器

数字电子技术基础课程设计——拔河机计数器

第1章引言 现今科学技术日新月异,信息是一个高度发展的产业,而数字技术是信息的基础,数字技术是目前发展最快的领域之一,数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视、雷达,通信等各个领域。开发数字电路来实现更多的功能,是我们学习数字技术的职责。现在人们越来越感觉到科技带来的实惠方便和娱乐。所以我们更应把所学知识应用到生活当中去,使它给我们添加更多的欢乐和方便。拔河比赛游戏机就是一个结构比较简单但综合性和趣味性的试验,就是设计一个由数字电路构成和显示的游戏机,甲乙双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次,移到任一方终端指示灯点亮,这一方就获胜。

第2章总体设计方案 2.1设计思路 (1)比赛开始时,由裁判下达命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。 (2) 拔河游戏机由15个电平指示灯排列成一行,裁判下达“比赛开始”的命令后,只有中间一个电平指示灯亮,以此作为拔河的中心线,甲乙双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2.2 电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。最后,显示器显示胜者的盘数。 方案一 采用编码电路、整形电路、译码电路、控制电路、胜负显示各原理和电路图第三章中。 方案二 本课题,可以用两片74LS192代替74LS193,先将两片74LS192连接成100进制的可逆,然后将其改成十六进制的计数器。用一全加器将两片74LS192的输出信号八位转化成四位,再接给CC4514的输入端。 控制电路也可由异或门74LS86和与非门74LS00构成。将双方终端指示灯的正接至异或门的2个输入端,当获胜一方为“1”,而另一方则为“0”,异或门输出

拔河游戏机设计报告

数字逻辑综合性实验设计报告 课程名称数字逻辑实验 题目名称电子拔河游戏机 班级20120615 学号2012061518 学生姓名孙晓行 同组班级20120615 同组学号2012061517 同组姓名孙静 指导教师武俊鹏、孟昭林、刘书勇、赵国冬 页脚内容- 0 -

2014年06 月 页脚内容- 1 -

摘要 电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排LED发光二极管表示拔河的“电子绳”。游戏双方各拥有一个比赛时使用的单脉冲按钮,参与者按动一次按钮就产生一个脉冲,谁按的频率快产生的脉冲就多,由发光的LED灯的左右偏移模拟拔河过程,LED灯的偏移方向和位移由比赛双方所给出的脉冲数实时决定,该功能需要用计数电路通过加减计数来实现。当移动到某方的最后一个LED灯时,则该方获胜,连续比赛多局以定胜负。 此次设计的电路,主要分为四部分:控制电路部分;计数电路部分;电子绳电路部分;计分器电路部分。 其中控制电路部分主要由2个JK触发器和一个锁存器构成;计数电路主要由2个74LS192组成;电子绳电路由3个74LS138译码器,17个74LS04和17盏灯组成;计分器电路由两个74LS161计数器构成。 关键词:“拔河”;开关;脉冲;LED灯;左右偏移;计分电路 页脚内容- 2 -

目录 1 需求分析 ......................................................................................................................................... - 6 - 1.1 基本功能要求 ....................................................................................................................... - 6 - 1.2 创新拓展功能....................................................................................................................... - 6 - 1.3 设计原理 .............................................................................................................................. - 7 - 2 系统设计......................................................................................................................................... - 8 - 2.1 系统逻辑结构设计 ............................................................................................................... - 8 - 页脚内容- 3 -

电子拔河游戏机设计

电子技术课程设计说明书 课程名称:电子技术课程设计题目:电子拔河游戏机设计 课程设计任务书 一、设计题目 拔河游戏机 二、主要内容及要求 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光 二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 三、进度安排 1.认真思考和理解所选题目的有关要求,大致知道要求做什么。 2.根据实验的设计要求,到图书馆或上网查找相关的资料,了解拔河游戏 机的工作原理。 3.学习数字电路中触发器、计数器、译码显示器等单元电路的设计及综合 应用,掌握逻辑电路的设计与测试方法,等。 4.综合相关的资料,设计实验方案。 5.根据所设计方案,用仿真软件进行电路仿真。 6.根据要求撰写实验报告。 四、总评成绩

指导教师 学生签名 目录 一.设计任务分析 (1) 二.拔河游戏机的总体方案设计 (1) 2.1设计思路 (1) 2.2电路原理图 (1) 2.3电路工作原理 (3) 2.4各单元电路的设计 (3) 三.元器件的使用 (6) 四.总结 (7) 4.1实验评价 (7) 4.2心得体会 (8) 参考文献 (8) 附录 (8)

一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由15个电平指示灯排列成一行,开 机之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方 各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方 向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一 方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使 亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动

电子电工毕业设计论文拔河游戏机

数字电路课程设计报告设计课题:拔河游戏机 专业班级:20111461班光信专业 学生姓名: 学号: 学生姓名: 学号: 指导老师: 设计时间:2014年6月8日

一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由9个电平指示灯排列成一行,开机 之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各 持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向 移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方 就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮 点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加 减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2.2 电路原理图: 图一,图二分别为拔河游戏机的电路框图、整机逻辑图。

2.3 电路工作原理: 可逆计数器CC40193原始状态输出4位二进制数0000,经译码器输出 点亮。当按动A、B两个按键时,分别产生两使中间的一只电平指示灯Q 个脉冲信号,经整形后分别加到可逆计数器上,可逆计数器输出的代码经译码器译码后驱动电平指示灯点亮并产生位移,当亮点移到任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。如按动复位键,亮点又回到中点位置,比赛又可重新开始。

数电电子拔河游戏机设计

一、实验名称:拔河游戏机设计 二、实验目的: 为了理论结合实际的电子基础知识,验证,巩固和消化电子技术基本知识,综合应用数字电子技术解决生产第一线的实际问题,提高基本的专业动手能力,进一步培养分析问题和解决问题的能力,以后更好的为社会服务。 三、实验任务: 设计主要容及要求: 1、设计一个模拟拔河游戏比赛的逻辑电路。 2、电路使用9个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3、比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4、亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 5、用七段数码管显示双方的获胜盘数。 四、实验设计思路 基本要求如下: (1)比赛开始时,由裁判(K)下达命令后,甲(A)乙(B)双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。 (2)“电子绳”到少由9个LED管构成,裁判下达“开始比赛”的命令后,位于“电子绳”中点的LED点亮。甲乙双方通过按键输入信号,使发亮的LED管向自己一方移动,并阻止其向对方延伸。当从中点至自己一方终点的LED管全部点亮时,表示比赛结束。这时,电路自锁,保持当前状态不变,除非由裁判使电路复位。 五、总体设计方案: 1、电路设计原理 拔河游戏机需用15个(或9个)发光二极管排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任

电子拔河游戏机

1.设计目的 加强学生对数字电子技术专业知识的理解和掌握,训练并提高其在理论计算、电路设计、资料文献查阅、运用相关标准与规范、电路仿真等方面的能力;为毕业设计(论文)奠定良好的基础。 2.设计任务 2.1设计指标 此次设计的电子拔河游戏机是模拟拔河比赛的电子游戏机,比赛开始的时候,只有当裁判下达指令时双方才可以输入信号,电路具有自己锁定的功能,在未下达命令前,电路不能开始运行。比赛开始后,双方通过控制按键进行比赛,使亮色的LED灯管移向自己的那一方。哪一方的速度按键越快,那么哪一方就会占优势,直到发亮的LED灯管移到自己的终点那一端,即为获胜方,此时电路自动锁定,双方按键无效,显示管显示比赛的结果数字。若循环比赛,则依次循环以上过程。 2.2设计要求 (1)拔河游戏机用9个电平指示灯排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线。(2)游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。 (3)移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 (4)最后,显示器显示胜者的盘数。 3.电子拔河游戏机的组成和工作原理 3.1电子拔河游戏机的构成 本次设计中共包含六个分支,分别是:整形电路,编码电路,译码电路,控制电路,胜负显示电路以及复位电路构成。 3.2原理分析 比赛两人通过开关产生脉冲,经过整形电路后接74LS192同步十进制可逆计数器,经74LS192同步二进制可逆计数器对信号进行加减后接译码电路,译码电路译码后显示到电平显示器上。再把胜负电路接到两头最边的电平显示灯上,胜负电路收到胜负信号后显示胜负次数。当比赛结束后由复位控制对显示胜负装置和电平显示灯回复到初始状态。这样就达到了设计目的和要求。 (1)整形电路:由与门74LS08和与非门74LS00构成。CP脉冲加到5脚和4脚控制加和减的,当加法计数时,减法输入端CPD接高电平;减法计数时,加法输入端CPU接高电平,但如果Q、W键产生的脉冲直接加上去,很多情况下在进行计数输入时另一计数输入端为低电平,计数器不能计数,双方按键均失去作

课程设计报告之电子拔河游戏机

题目电子拔河游戏机设计系(部) 信息工程系 专业电子信息工程 班级电信091 学生姓名刘文刚 学号090819325 6 月13 日至 6 月18 日共 1 周 指导教师 系主任签字 2011年6月18日

成绩评定表

目录 一、设计任务以及要求--------------------------------------- 4 二、总体框图----------------------------------------------------4 三、选择器件----------------------------------------------------5 四、功能模块----------------------------------------------------10 五、总体设计----------------------------------------------------16 六、实验感言----------------------------------------------------17

一、设计任务以及要求 1. 设计一个模拟拔河游戏机比赛的逻辑电路。 2. 电路使用15个电平指示灯排成一排,开机后只有中间一个点亮,以此作 为拔河的中心线。 3. 比赛双方各持一个按键,迅速不断的按动产生脉冲,谁按得快,亮点向 谁方向移动。每按一次,亮点移动一次。 4. 移动到任何一方终端指示灯点亮,这一方得胜,此时双方按键均无作用, 输出保持,只有经裁判按动复位后,恢复到中心线。 5. 显示器显示胜者的盘数。 二、总体框图 1. 设计方案: (1)本课题所设计的拔河游戏机由15电平指示灯排列成一行,开机之 后只有中间一个电平指示灯亮,以此作为拔河的中心线。可逆计数器原始状态为0000,经译码后输出中间的电平指示灯亮。游戏双方各持一个按键,迅速地、不断地按动产生脉冲信号,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加减脉冲无效。同时,使计分电路自动加分。当两人比赛结束后,裁判可以让计分显示器清零。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加 减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2. 电路原理图: 图一为拔河游戏机的电路框图

拔河游戏数字电路设计

一、实验目的: 1.学习数字电路中基本D触发器、计数、译码显示等单元电路的综合应用。 2.熟悉拔河游戏机的工作原理。 3.复习数字电路中D触发器、4线—16线译码器、计数器、译码显示器等部 分内容。 4.分析拔河游戏机组成、各部分功能及工作原理。查出各芯片引脚排列及功 能。 二、实验器件: 1个CC4514 4线—16线译码器 1个74LS191 双向加减计数器 2个74LS160 十进制加法计数器 3个与门、2个或门、2个非门 2个D触发器 三、设计任务与要求: (1)设计思路 拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 用七段数码管显示胜者取胜的盘数。 (2)任务与要求: 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3.比赛双方各持一个按钮,快速不断地按动按钮产生脉冲,谁按得快,发光的二极管 4.就向谁的方向移动,每按一次,发光二极管移动一位。 5.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都 应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 6.用七段数码管显示双方的获胜盘数。 7.根据设计要求合理选择方案。

四、总体设计方案: (1)设计思路 1.设计的主要任务是控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。用可预制的加/减计数器作主要器件,用计数器的输出状态通过译码器控制LED发亮。当向计数器输入“加脉冲” 时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。 2.当一局比赛结束,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。同时,使电路自动加分。 3.控制电路部分应能够控制由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。 (2)电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。最后,显示器显示胜者的盘数。 图1电子拔河游戏机原理框图

课程设计——拔河游戏机

课程设计任务书 题目拔河游戏控制电路 专业学号姓名 主要内容、基本要求、主要参考资料等: 主要内容 * 1.阅读相关科技文献。 2.学习protel软件的使用。 3.学会整理和总结设计文档报告。 4.学习如何查找器件手册及相关参数。 技术要求 1.< 2.要求电路使用9个发光二极管显示拔河过程,开机后只有中间一个发光二极管发光,即为拔河 中心; 3.游戏双方各持一个按钮,按钮每按下一次,亮点向本方移动一次,拔河过程中有且只有一个发 光二极管发光; 4.亮点移动到任意一段的终端二极管时,此方获胜,并且此时双方按钮均无作用,输出状态保持, 复位后亮点回到中心点。 主要参考资料 1.何小艇,电子系统设计,浙江大学出版社,2001年6月; ( 2.姚福安,电子电路设计与实践,山东科学技术出版社,2001年10月; 3.王澄非,电路与数字逻辑设计实践,东南大学出版社,1999年10月;

4.李银华,电子线路设计指导,北京航空航天大学出版社,2005年6月;5.康华光,电子技术基础,高教出版社,2003。 } 完成期限: 2011年6月28日 指导教师签章: 专业负责人签章: 2011年6月27日

摘要 》 本课题的主要任务是让拔河游戏控制电路的电平指示灯由中点向己方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向延伸。当延伸到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛。 此电路可分为脉冲发生器电路和计数/译码器电路两大部分。脉冲发生器电路部分采用两个与非门组成的基本RS触发器构成的去抖电路以及有与门、与非门构成的整形电路,可以将按钮A、B产生的脉冲整形成占空比较高的信号。计数器电路部分以74LS/HC193为主体,译码器采用由集成芯片74HC138扩展的4线-16线译码器。芯片74LS/HC193根据UP端和DOWN端的状态来判断进行加计数还是减计数,然后将计数结果输出到由74HC138构成的译码器,译码器将结果输出到发光二极管。由于74HC138输出端为低电平,所以当双方终端二极管对应的输出端有一个为低时即表示游戏结束,双方按键失效。此功能实现可将74LS193的输出置数至输入端,使译码器的输出保持,将双方的端二极管对应的输出进行与运算,如果有一个为低则输出为低,再接低电平有效的置数端,实现游戏结束时按键无作用。 关键字:去抖电路 74LS138 反馈置数 &

电子拔河游戏机设计全文

数字逻辑与数字系统课程 设计报告 设计题目:拔河游戏机 专业班级:计算机科学与技术08-2班 学生:程杨杨20082567 同组学生:郑恒2008 指导教师:

拔河游戏机 摘要:本实验使我们进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力 本课程设计的内容就是采用74LS00、74LS193、4线-16线译码器CC4514、74LS02、CC 4518设计的一个电子拔河游戏机,该游戏机具有整形、 计数、译码、控制、复位等功能,设计原理简单易懂,所设计的游戏机的游戏规 则和真的拔河比赛规则相类似。 目录 一.设计任务与要求 (1) 1.设计任务 (1) 2.设计要求 (1) 二.总体设计方案 (1) 1.设计思路 (1) 2.电路设计原理 (1) 3.两个方案的对比 (6) 4. 实验方案论证 (6) 5. 实验目的 (6) 6. 实验器件 (7) 三.单元电路设计与参数计算 (7) 1. 整形电路 (7) 2. 计数电路 (7) 3. 译码电路 (8) 4. 胜负显示电路 (9) 四.总原理图及元器件清单 (11) 1. 总原理图 (11) 2. 说明 (14) 3. 元器件清单 (14) 五.结论与心得 (15)

六.参考文献 (16) 一.设计任务与要求 设计思路 给定实验设备和主要元器件按照电路设计的各部分组成一个完整的拔河游戏机。 1.拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的 中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮 点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方 就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 2.用七段数码管显示胜者取胜的盘数。 任务与要求: 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 6.根据设计要求合理选择方案。 二、总体设计方案: 2.1、设计思路 1.该设计的主要任务是控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。用可预制的加/减计数器作主要器件,用计数器的输出状态通过译码器控制LED发亮。当向计数器输入“加脉冲”时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。 2.当一局比赛结束,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。同时,使电路自动加分。 3.控制电路部分应能够控制由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。 2.2、电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这

拔河游戏机课程设计报告

西南民族大学 电气信息工程学院 数字电路课程设计报告 设计课题:拔河游戏机 专业班级:电子信息工程 学号: 小组成员: 指导教师: 设计时间:2013.04-2013.05

电信学院数字电子技术课程设计 任务书 设计名称:拔河游戏机 一、课程设计目的 1.掌握四数计数器CC4518,CC4514的原理及使用,显示电路原理。 2.熟悉与门、与非门、异或门的使用。 3.掌握实验电路的工作原理。 4.培养独立分析故障及排除故障的能力。 二、设计任务与要求 (1)设计一个能进行拔河游戏的电路。 (2)电路使用9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。(3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 (4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 (5)用数码管显示获胜者的盘数。

摘要与关键字 摘要:电子拔河游戏机电路可分为脉冲发生器电路和计数/译码器电路两大部分。脉冲发生器电路部分采用两个与非门组成的基本RS触发器,经整形后产生脉冲信号。计数/译码器电路部分以CC40193为主体,译码器采用集成芯片CC4514。计数器根据脉冲输入发生变化,CC4514的输出随之发生相应的变化,当脉冲信号移动至Q7或Q9时,将CC4514的输出端锁存。经调试,整机功能效果等各项性能指标均达到本实验要求。 关键词: 脉冲发生器计数/译码器CC4514 脉冲信号 1总方案设计 1.1设计思路 1、拔河游戏机需要使用9个发光的二极管排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断按动产生脉冲,谁按得快亮点向谁的方向移动,每按一次亮点移动一次。移动到任意一方的终端二极管点亮,这一方就取得了胜利。此时双方的按键均不起作用,输

电子拔河游戏机 实验报告

电子技术综合实验 设计报告 设计题目:电子拔河游戏机 专业班级: 学生: 学号: 指导教师:

一、设计任务 电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排LED发光二极管表示拔河的电子绳。由甲、乙二人通过按钮开关使发光的LED管向自己一方的终点移动,当亮点移到任何一方的终点时,则该方获胜,连续比赛多局以定胜负。 二、设计要求 1)由裁判下达比赛开始命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。 2)电子绳由17个LED管构成,裁判下达比赛开始命令后,位于电子绳中点的LED管发亮。甲、乙二人通过按钮开关使发光的管向自己一方的终点移动,并阻止其向对方延伸。当点亮的LED管到达某一方的终点时,该方获胜。此时通过自锁功能锁定电路,使输入不再有效,必须由裁判再次发出比赛开始命令时方能开始下一次比赛。 3)某方赢一次,有计分电路自动给该方加1分,通过多次比赛以定胜负。 三、总体方案设计 本方案中,有效输入信号代表的是拔河的力度的相对大小。则当A=1,B=0时,表示甲的力度比乙的力度大;当B=1,A=0时,表示乙的力度比甲的力度大;当A=1,且B=1时,表示甲的力度与乙的力度一样大;当A=0且B=0时,表示甲、乙均还未开始拔河。 当裁判员下达比赛开始命令后,比赛开始,甲、乙中的任意一方输入有效信号后,计数器开始计数。若甲输入有消信号时,进行加计数;若乙输入有效信号时,进行减计数。电子绳的LED开始移动;当甲、乙同时输入有效信号时,电子绳上点亮的LED灯不再移动。 当一局比赛结束后,电子绳上某一头的LED保持亮,此时,甲、乙的输入信号不再有效,计分电路此时记录并显示甲、乙总共的比赛成绩。当裁判下达下一局比赛开始的命令后,比赛才能开始,甲、乙输入信号才有效。 基本实验原理图如下:

数字电子技术课程设计报告 电子拔河游戏机

数字电子技术课程设计报告 班级:信息0611 ;姓名:何海强;学号40550311 设计题目:电子拔河游戏机 同组成员:史立光、田劲、王萌、路长发;组长:何海强 功能描述: 1、整体描述:电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电 路。由一排16个LED发光二极管表示拔河的“电子绳”。由甲乙双方通过按钮开关使发光的LED管向自己一方的终点延伸,当延伸到某方的最后一LED管时,则该方获胜,并对获胜次数进行计数,连续比赛多局以定胜负。用键盘上的A键和Z键表示开关按钮。 用键盘上的S键赖代替清零信号,每次比赛前都要进行清零,并使按钮开关复位。 2、比赛开始,由裁判下达比赛命令后(,用空格键代表裁判信号,摁一下空格键),甲乙 双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。裁判信号由键盘空格键来控制。 3、“电子绳”由16个LED管构成,裁判下达“开始比赛”的命令后,摁一下空格键,位于 “电子绳”中点的LED发亮。甲乙双方通过按键输入信号,用键盘上的数字键A键Z 键来模拟,摁一下A向左移动,摁一下Z键向右移动。使发亮的LED管向自己一方移动,并阻止其向对方延伸,谁摁得快就向这一方移动。当从中点至自己一方的最后一个LED管发亮时,表示比赛结束,这时,电路自锁,保持当前状态不变,除非由裁判使电路复位,并对获胜的一方计数器自动加一。 4、记分电路用两位七段数码管分别对双方得分进行累计,在每次比赛结束时电路自动加 分。 5、双方得分计数器的清零信号由键盘上的数字键2,3键来实现。当比赛结束时,计分器清零,为下一次比赛做好准备。 方案设计: 1.总体设计思路(含电路原理框图): 电路的原理框图如下图所示:

拔河游戏机课程设计实验报告

课程设计说明书 课程名称:数字电子技术课程设计题目:拔河游戏机 班级: 姓名: 学号: 同组人:

设计任务书 一、设计题目 拔河游戏机 二、主要内容及要求 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 三、进度安排 1.认真思考和理解所选题目的有关要求,大致知道要求做什么。 2.根据实验的设计要求,到图书馆或上网查找相关的资料,了解拔河游戏机的工作原理。 3.学习数字电路中触发器、计数器、译码显示器等单元电路的设计及综合应用,掌握逻辑电路的设计与测试方法,等。 4.综合相关的资料,设计实验方案。 5.根据所设计方案,用仿真软件进行电路仿真。 6.根据要求撰写实验报告。 设计过程 一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由15个电平指示灯排列成一行,开机之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。

电子电工毕业设计论文拔河游戏机

数字电路课程设计报告 设计课题: 拔河游戏机 专业班级:20111461班光信专业 学生姓名:学号: 学生姓名:学号: 指导老师: 设计时间:2014年6月8日

一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/ 减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1) 本课题所设计的拔河游戏机由9 个电平指示灯排列成一行,开机之后 只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作 用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2) 当一局比赛结束后, 由点亮该终点灯的信号使电路封锁加减脉冲信号的 作用. 即实现电路自锁, 使加家减脉冲无效。同时,使计分电路自动加分。 (3) 控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲 的输入端,其进入方向则由参赛双方的按键信号决定。2.2 电路原理图: 图一,图二分别为拔河游戏机的电路框图、整机逻辑图。

+5V 图二 2. 3 电路工作原理: 可逆计数器CC40193原始状态输出4位二进制数0000,经译码器输出 使中间的一只电平指示灯Q 0点亮。当按动A 、B 两个按键时,分别产生两 个脉冲信号,经整形后分别加到可逆计数器上,可逆计数器输出的代码经 译码器译码后驱动电平指示灯点亮并产生位移, 当亮点移到任何一方终端 后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。 如按动复位键,亮点又回到中点位置,比赛又可重新开始。 将双方终端指示灯的正端分别经两个与非门后接到 2个十进制计数器 CC4518的使能端EN 当任一方取胜,该方终端指示灯点亮,产生 1个下 選错开关 rp.- D ;. Di D I D J 亡ft 「 74LS193 _ 匚畔 Qd 0 Q : Qg LD H L & =1 INH LE V D CC4S14 N P2 E c Q;Q1Q:Q 丄 + 5X P j ”

相关文档
相关文档 最新文档