文档库 最新最全的文档下载
当前位置:文档库 › 西门子200PLC高速计数问题

西门子200PLC高速计数问题

西门子200PLC高速计数问题
西门子200PLC高速计数问题

西门子200PLC高速计数问题

1.我用HSC0的10模式,来计数AB相脉冲,我用状态表监控HSC0的数值不断变化,可是SMD38当前计数值怎么没变化?

2.当前的计数值在哪个寄存器里?怎么取出来?

3.设定值是6000,计数值到6000后产生中断,这个没问题,但是编码器转动时,H SC0还会计数。怎么写程序就可以实现计数到6000后,在中断里HSC0里面的当前值马上清零,旋转编码器旋转时,还不会计数。等再启动时才开始计数

// 主程序:

// 在主程序中,首先将输出Q0.0置,0,因为这是脉冲输出功能的需要。再初始化高速计

// 数器HSC0,然后调用子程序0和1。

// HSC0起动后具有下列特性:可更新CV和PV值,正向计数。

// 当脉冲输出数达到SMD72中规定的个数后,程序就终止。

// 主程序

LD SM0.1 // 首次扫描标志(SM0.1=1)。

R Q0.0,1 // 脉冲输出Q0.0复位(Q0.0=0)。

MOVB 16#F8,SMB37 // 装载HSC0的控制位:

// 激活HSC0,可更新CV,可更新PV,

// 可改变方向,正向计数。

// HSC指令用这些控制位来组态HSC。

MOVD 0,SMD38// HSC0当前值(CV)为0。

MOVD 1000,SMD42 // HSC0的第一次设定值(PV)为1000。

HDEF 0,0 // HSC0定为模式0。

CALL 0 // 调用子程序0。

CALL 1 // 调用子程序1。

MEND // 主程序结束。

// 子程序0:

// 子程序0初始化,并激活脉冲输出(PLS)。

// 在特殊存储字节SMB67中定义脉冲输出特性:脉冲串(PT0),时基,可更新数值,激活PLS。

// SMW68定义脉冲周期,其值为时基的倍数。

// 最后,在SMD72中指定需要产生的脉冲数。(SMD72)为内存双字,即4个字节)。

// 子程序0

SBR 0 // 子程序0

MOVB 16#8D,SMB67 // 装载脉冲输出(PLS0)的控制位:PT0,时基1ms,可更新,激活。

MOVW 1,SMW68 // 脉冲周期1ms。

MOVD 30000,SMD72 // 产生30000个脉冲。

PLS 0 // 起动脉冲输出(PLS 0),从输出端Q0.0输出脉冲。

RET // 子程序0结束。

// 子程序1:

// 子程序1起动HSC0,并把中断程序0分配给中断事件12(HSC 0的当前值CV等于设定值PV)。

// 只要脉冲计数值(当前值CV)达到设定值(PV),该事件就会发生。

// 最后,允许中断。

// 子程序1

SBR 0 // 子程序1。

ATCH 0,12 // 把中断程序0分配给中断事件12(HSC 0的CV=PV)。

ENI // 允许中断。

HSC 0 // 按主程序中对HSC 0的初始组态特性,起动HSC0。

RET // 子程序1结束。

// * * * * * * * * * * * * * * * * * * * *

// 中断程序0:

// 当HSC 0的计数脉冲达到第一,设定值1000时,调用中断程序0。

// 输出端Q0.1置位(Q0.1=1)。

// 为HSC 0设置新的设定值1500(第二设定值)

// 用中断程序1取代中断程序0,分配给中断事件12(HSC 0的CV=PV)。

// 中断程序0

INT 0 // 中断程序0。

S Q0. 1,1 // 输出端Q0.1置位(Q0.1=1)。

MOVB 16#A0,SMB37 // 重置H SC 0的控制位,仅更新设定值(PV)。

MOVD 1500,SMD42 // HSC 0的下一个设定值为1500(第二设定值)。

ATCH 1,12 // 用中断程序1取代中断程序0,分配给中断事件12。

HSC 0 // 起动HSC 0,,为其装载新的设定值。

RETI // 中断程序0结束。

// 中断程序1:

// 当HSC 0的计数脉冲达到第二设定值1500时,调用中断程序1。

// 输出端Q0.2置位(Q0.2=1)。

// HSC 0改成减计数,并置新的设定值1000(第三设定值)。

// 用中断程序2取代中断程序1,分配给中断事件12(HSC 0的CV=PV)。

// 中断程序1:

INT 1 // 中断程序1。

S Q0. 2,1 // 输出端Q0.2置位(Q0.2=1)。

MOVB 16#B0,SMB37 // 重置H SC 0的控制位,更新设定值,并改成减计数(反向计数)。

MOVD 1000,SMD42 // HSC 0的下一个设定值为1000(第三设定值)。

ATCH 2,12 // 用中断程序2取代中断程序1,分配给中断事件12。

HSC 0 // 起动HSC 0,,为其装载新的设定值和方向。

RETI // 中断程序1结束。

// * * * * * * * * * * * * * * * * * * * *

// 中断程序2:

// 当HSC 0的计数脉冲达到第三设定值1000时,调用中断程序2。

// 输出端Q0.1和Q0.2复位(Q0.1=0,Q0.2=0)。

// HSC 0的计数方向重新改为正向(增计数),并将当前计数值置为0,而设定值PV保持不变(1000)。// 重新把中断程序0分配给中断事件12,程序再次起动HSC 0运行。

// 当脉冲数达到SMD72中规定的个数后,程序就终止。

// 中断程序2:

INT 2 // 中断程序2。

R Q0. 1,2 // 输出端Q0.1和Q0.2复位(Q0.1=0,Q0.2=0)。

MOVB 16#D8,SMB37 // 重置HSC 0的控制位,更新C V,改为正向计数(增计数)。

MOVD 0,SMD38 // HSC 0的当前值复位(CV=0)。

ATCH 0,12 // 把中断程序0分配给中断事件12。

HSC 0 // 重新起动HSC 0。

RETI // 中断程序2结束。

既然利用脉冲输出,必须选用CPU 224DC/DC/DC

中断的妙用―扩展PLCAB相高速计数器方法(精)

中断的妙用—PLC AB相高速计数的方法 杨敬东 (广东佛山菜鸟控制实验室) 摘要:本文介绍了利用可变程序控制器PLC的中断机制,扩展PLC中的AB两相高速计数器的方法。 一、引言:PLC可编程序控制器,是一种工业上广泛应用的通用控制器,但是在应用实践中,不少情况是应用的要求,略为超出了PLC的资源,通常是IO端口的不匹配,最遗憾的是,有时只差1、2个端口,就要选购大一级点数规格的PLC,所以很多学者研究了不增加成本的情况下扩展IO端口的方法。但AB两相高速计数器不够用而扩展的,恐怕大多数人认为,只能购买昂贵的高速计数器特殊模块了。但如果满足特定条件时,也可以用PLC基本单元作少量增加,以下以三菱FX系列PLC基本单元为例扩展1路AB相高速计数器。 二、问题背景:某机械设备设计制造公司要设计制造一种液压机械,机器需要用到3把AB相光栅尺,其中1把连接到液压缸驱动的进给机构,以作为定位之用,运动速度高;另外2把连接到一个平面上的X轴、Y轴两个方向的调节机构,该2个调节机构进给速度相对前者稍慢。公司计划采用三菱FX1N系列PLC基本单元作为控制器,由于三菱FX1N系列PLC基本单元最多只能同时接入2个AB相高速计数器,于是将其分配接入2把AB相光栅尺,完成X轴、Y轴调节机构的功能,另购置1个高速计数器特殊功能模块,完成进给机构高速定位功能。但是,PLC特殊功能模块的价格是比较昂贵的,如果机器的生产数量是比较多的话,就削弱了产品的竞争力。 三、FX1N系列PLC内部高速计数器和外部中断简介: 内部21点高速计数器C235—C255共用PLC特定的8个输入端X0—X7,某一高速计数器输入端都有其对应的输入端口,不同类型的高速计数器可以同时使用,但是它们的输入端不能冲突。其中AB相高速计数器与端口的对应关系如表—1:

s7-200高速计数器详细解说

* S7-200高速计数器详细解说 i?高速计数器指令 普通计数器受CPU扫描速度的影响,是按照顺序扫描的方式进行工作。在没个扫描周 期中,对计数脉冲只能进行一次累加;对于脉冲信号的频率比PLC的扫描频率高时,如果仍采用普通计数器进行累加,必然会丢失很对输入脉冲信号。在PLC中,对比扫描频率高的输入信号的计数可也使用高速计数器指令来实现。 1. 高速计数器指令 C,如表 (1)定义高速计数器指令HDEF HDE指令功能是为某个要使用的高速计数器选定一种工作模式。每个高速计数器在使用 前,都要用HDEF指令来定义工作模式,并且只能用一次。它有两个输入端:HSC为要 使用的高速计数器编号,数据类型为字节型,数据范围为0~5的常数,分别对应HC0~ HC5;M0CE为高速计数的工作模式,数据类型为字节型,数据范围为0~11的常数,分 别对应12种工作模式。当准许输入使能EN有效时,为指定的高速计数器HSC定义工 作模式MODE。 (2)执行高速计数指令HSC HSC指令功能功能是根据与高速计数器相关的特殊继电器确定在控制方式和工作状态,使高速计数器的设置生效,按照指令的工作模式的工作模式执行计数操作。它有一个数 据输入端N : N为高速计数器的编号,数据类型的字型,数据范围为0~5的常数,分别对应 高速计数器HC0~HC5.当准许输入EN使能有效时,启动N号高速计数器工作。 2. 高速计数器的输入端 高速计数器的输入端不像普通输入端那样有用户定义,而是由系统指定的输入点输入信 号,每个高速计数器对它所支持的脉冲输入端,方向控制,复位和启动都有专用的输入点,通过比较或中断完成预定的操作。每个高速计数器专用的输入点如表 高速计数器的输入点

[整理]s7-200高速计数器详细解说

[整理]s7-200高速计数器详细解说s7-200高速计数器详细解说 1.高速计数器指令 普通计数器受CPU扫描速度的影响,是按照顺序扫描的方式进行工作。在没个扫描周期中,对计数脉冲只能进行一次累加;对于脉冲信号的频率比PLC的扫描频率高时,如果仍采用普通计数器进行累加,必然会丢失很对输入脉冲信号。在PLC 中,对比扫描频率高的输入信号的计数可也使用高速计数器指令来实现。 在S7-200的CPU22X中,高速计数器数量及其地址编号表如下 CPU类型 CPU221 CPU222 CPU224 CPU226 高速计数器数量 4 6 高速计数器编号 HC0,HC3~HC5 HC0~HC5 1(高速计数器指令 高速计数器的指令包括:定义高速计数器指令HDEF 和执行高速计数指令HSC,如表 HDEF HSC (1) 定义高速计数器指令HDEF HDE指令功能是为某个要使用的高速计数器选定一种工作模式。每个高速计数器在使用 前,都要用HDEF指令来定义工作模式,并且只能用一次。它有两个输入 端:HSC为要

使用的高速计数器编号,数据类型为字节型,数据范围为0~5的常数,分别对应HC0~ HC5;MOCE为高速计数的工作模式,数据类型为字节型,数据范围为0~11的常数,分 别对应12种工作模式。当准许输入使能EN有效时,为指定的高速计数器HSC 定义工 作模式MODE。 (2)执行高速计数指令HSC HSC指令功能功能是根据与高速计数器相关的特殊继电器确定在控制方式和工作状态,使高速计数器的设置生效,按照指令的工作模式的工作模式执行计数操作。它有一个数据输入端N:N为高速计数器的编号,数据类型的字型,数据范围为0~5的常数,分别对应高速计数器HC0~HC5.当准许输入EN使能有效时,启动N号高速计数器工作。 2(高速计数器的输入端 高速计数器的输入端不像普通输入端那样有用户定义,而是由系统指定的输入点输入信号,每个高速计数器对它所支持的脉冲输入端,方向控制,复位和启动都有专用的输入点,通过比较或中断完成预定的操作。每个高速计数器专用的输入点如表 高速计数器的输入点 高速计数器标号输入点高速计数器标号输入点 HC0 I0.0,I0.1,I0.2 HC3 I0.1 HC1 I0.6,I0.7,I1.0,11.1 HC4 I0.3,I0.4,I0.5 HC2 I1.2,I1.3,,I1.4,I1.5 HC5 I0.4 3(高速计数器的状态字节系统为每个高速计数器都在特殊寄存器区SMB提供了一个状态字节,为了监视高速计数器的工作状态,执行由高速计数器引用的中断事件,其格式如表。

PLC高速计数器测量电机转速的标准程序

PLC高速计数器测量电机转速的标准程序 通过与电动机同轴齿轮齿条变化来测量电动机转速,电动机输出轴与齿轮的传动比=1,齿条数=12,要求测量单位:转/分钟。 主程序: 子程序0

中断程序0

主程序MAIN 程序初始化,PLC上电运行的第一个扫描周期执行一次初始化子程序SBR_0。用于程序运行的初始设置 子程序SBR_0 在PLC运行的第一个扫描周期,将用于记录累加数据次数和累加数据的中间变量VB8和VD0置0 设置高速计数器HC0的控制字节SMB37,用十六进制表示(16#F8),也可以用二进制表示(2#11111000)。 设置高速计数器HC0工作模式为0,单相计数输入,没有外部控制功能。 设置高速计数器HC0初始值寄存器SMD38为0。 执行HSC指令,将控制字节SMB37、初始值/预置值寄存器(SMD38/SMD42)以及工作模式写入高速计数器HC0。 设定定时中断事件的时间为50ms 定时中断事件号10和中断处理程序INT_0建立关联。 允许中断,将定时中断事件和中断处理程序连接 中断处理程序INT_0 中断处理程序每隔50ms扫描刷新一次。 采用整数加法指令,将高速计数器HC0的计数当前值(32位)和累加数据相加一次。用于数据的累加。 采用整数递增指令,记录累加次数。 执行HSC指令,在这里执行的目的,是将初始值寄存器SMD38(0)再次写入高速计数器HC0,使计数当前值为0,以便下个定时采样。 当累加数据次数等于32次,子程序中网络2中程序执行。 采用除法指令,计算32次的累加数据平均值。 将平均值转换成测量单位:转/分,转换后的数据送入双字VD4。 将平均值转换成字数据,送入字VW10中。VW10中的数据就是电机速度值。之所以转换,是因为在程序中一般要求以字的概念存在。 将记录累加数据次数的字节VB6中数据置0。用于下一次开始时,从新开始累加。 将用于累叫数据的中间变量VD4置0。

三菱PLC高速计数器和编码器应用

三菱PLC高速计数器和编码器应用 编码器的作用相信大家会经常听到,但是,到底怎么用,相信很多人是一知半解,那么,今天陈老师就给大家分享一下具体的使用方法。使用编码器之前,我们需要先学习高速计数器的概念。 一、什么是高速计数器假如我们的PLC的X0点接入了一个按钮,在plc里面写入以下的程序,我们按住按钮1次,那么计数器就会记1,按2次就记2,… …我们按1000次了,计数器c0的常开触点就会闭合,这很好理解。 假如我1秒按一次,那么,人为去按,那么按个1000次就能导通。 重点来了,如果说我不接按钮,我接了个光电感应器或者编码器去感应,由机器去触发,机器运行的速度非常快,可能1秒按了几百次,甚至几百几千次,我们的X0的常开触点就感应不了了,那么怎么办,我们可以用高速计数器。 如下表,是我们的单相的高速计数器

假如我把光电感应器接到,X0,那么C235,就是它的专用的计数器,X0每感应到的每一个信号都会用C235进行计数,我们用以下程序就能把X0感应到的脉冲数存放到D235里面。(同理,C236记录的是X1的脉冲数;C237记录的是X2的脉冲数… …) 当然计数器的计数频率是有个极限的,普通的FX系列的X点,接受的速度是50KHz,就是1秒钟能接收导通50 000次。 二、编码器的使用(相对值编码器) 上图是一个编码器,转动上面的轴可以发出2个信号,每转动一定的角度,这2个输出都会闭合一定的次数,就像上面的光电感应器的接线一样,接线可以接到2个X点上面去。然后我们可以通过高速计数器来对它进行计数,从而知道它转动了多少距离。 它与前面那种一个点输入的感应器又不一样,编码器正转计数会增加,反转计数应该减少。 作用的话定位才能准确。这时我们需要用到下面另外一种高速计数器

西门子PLCS7-200高速计数器指令用法

高速计数器计数器 输入/输出操作数数据类型 N常数(0,1,2,3,4或5)字 内存范围错误S7-200 CPU指令支持SIMATIC/国际助记符 数据范围CPU内存中的指令大小编址内存 高速计数器(HSC)指令根据HSC特殊内存位的状态配置和控制高速计数 器。参数N指定高速计数器的号码。 高速计数器最多可配置为十二种不同的操作模式。 每台计数器在功能受支持的位置有专用时钟、方向控制、复原和起始输入。 对于双相计数器,两个时钟均可按最高速度运行。在正交模式中,您可以 选择一倍\(1x)或四倍(4x)的最高计数速率。所有的计数器按最高速率 运行,而不会相互干扰。 注释: CPU 221和CPU 222支持4台高速计数器 (HSC0、HSC3、HSC4、HSC5) CPU 221和CPU 222不支持HSC1和HSC2 CPU 224、CPU224XP、CPU 226支持6台高速计数器 (HSC0至HSC5) 您可以为每台高速计数器使用一条"高速计数器定义"指令。文档光盘中"提 示与技巧"中的第4条提示和第29条提示提供使用高速计数器的程序。 设置ENO = 0的错误条件: 0001 HSC在HDEF之前 0005 HSC/PLS同步 程序举例 LAD FBD

STL NETWORK 1 // 主程序 // 首次扫描时,调用SBR_0 LD SM0.1 CALL SBR_0 NETWORK 1 // 子程序0开始 // 配置HSC1 LD SM0.1 // 首次扫描时 MOVB 16#F8 SMB47 // 配置HSC1:// - 启用计数器 // - 写入新当前值

S7 200编码器高速计数器教程

编码器相关资料 需要事先掌握的几个概念 1、编码器是一个发出信号的装置,发出脉冲。 2、高速计数器是PLC内置的高速计数装置,(普通的计数器的技术跟扫描周期很大关系,无法计数快速的脉冲信息)。 3、高速计数器有很多个,很多个模式,不看手册,图是不可能记住的。 4、编码器的计数只是高速计数器的一种模式而已。 5、所谓的A B相,就是在A为1时B有上升沿,或者在B为1时A有上升沿。 右边正反转你掌握的就是正反转的时候,AB相的不同。 人家plc如何计数? 那是西门子的事。 你所要知道的是,这个正反转加减技术就是根据这个不同plc自己来识别的。不要乱操心。 6、编码器可以输出三路脉冲,你完全可以根据自己的需要只用其中的任何一路。比如你只用Z技术圈数,或者只用AB计数螺杆位置,或者只用A或者B来技术一个单方向的脉冲。根据自己需要,你也可以全部都用,来计数角度。还可以只用一路单纯用作脉冲发生器来做实验。它就是那么一个随着转动发脉冲的反馈装置。 7、中断程序:本质跟子程序是一样的,子程序只要一个纯点就可以来调用,中断程序需要“事件来调用”,为什么会这样的,因为就是有一些“事”要处理,比如上升下降就是要立即处理的情况,这都是根据需要搞出来的概念,不是凭空出来的。8、事》》》有很多种事,很多件事,不能搞混,这根现实生活中的事情是一样的。本就是一个概念。那么多就要编号,这就是“事件号”。 9、中断程序可以有很多,int0123456,如果不指定哪个事件发生,我要处理哪个事件,这样的话,那岂不是乱套,对吧?事件1发生,我要调用可以调用任何一个int中断程序,要确定,就得在程序里预先“连接”上,这样的话当发生事件1了,那么相关子程序(中断子程序中断子程序也是子程序的一种嘛只不过调用是被“事件”来调用的)就会被调用。 10、所以整个过程是 查看下表》》》》》确定高速计数器》》》》根据控制需要确定计数模式》》》查找高速计数器的输入通道I,接上线》》》》用高速计数器向导编程或者狂做实验(我第一次用,做了2小时试验,全会了)。

三菱F系列PLC特殊功能寄存器M指令代码详细功能介绍

三菱F系列P L C特殊功能寄存器M指令代码 详细功能介绍 Document serial number【LGGKGB-LGG98YT-LGGT8CB-LGUT-

M8000:上电接通 M8001:上电断开 M8002:初始化脉冲(首次扫描接通) M8003:初始化脉冲(首次扫描断开) M8004:错误发生(FX3UC时M8060,M8061,M8064,M8065,M8066,M8067其中哪一个ON时动作;FX3UC以外M8060,M8061,M8063, M8064,M8065,M8066,M8067其中哪一个ON时动作) M8005:电池电压降低(电池电压异常降低时动作) M8006:电池电压降低锁存(电池电压异常降低时动作保持) M8007:瞬间停止检测(当M8007为ON的时间小于D8008,PLC将继续运行) M8008:停电检测(当M8008电源关闭时,M8000也关闭) M8009:DC24V故障 M8011:10ms时钟脉冲 M8012:100ms时钟脉冲 M8013:1s时钟脉冲 M8014:1min时钟脉冲 M8015:内存实时脉冲(计时停止以及预先装置) M8016:内存实时脉冲(显示停止,时刻读出显示的停止) M8017:内存实时脉冲(补正,±30s补正) M8018:内存实时脉冲(安装,安装检测) M8019:内存实时脉冲错误

M8020:零位标志,加减演算结果为0 M8021:借位标志,演算结果成为最大的负数值以下时 M8022:进位标志,进位发生在ADD(FNC20)指令期间或当数据移位操作的结果发生溢出时。 M8023:小数点演算标志,ON:进行浮点运算。 M8024:BMOV方向指定,转送方向替换,数据从终点到源的方向转送。 M8029:指令结束,DSW(FNC72)等等的动作结束时动作 M8030:电池LED消灯指令,当驱动M8030时,及时电池电压降低,PLC面板的LED也不会点亮。 M8031:非锁存内存全部清除 M8032:锁存内存全部清除 M8033:内存保持停止,ON时内存保持,当PLC从RUN→STOP,图像存储或者数据存储的内容保持原来状态。 M8034:所有输出禁止 M8035:强制RUN模式 M8036:强制RUN指令 M8037:强制STOP指令 M8038: ON时,通讯参数被设定;在FX2、FX2C里,作为RAM文件寄电器全部删除动作。M8074=1,M8038=1,D6000-D7999文件寄电器被删除。

三菱plc高速计数器和编码器应用

三菱plc高速计数器和编码器应用 编码器的作用相信大家会经常听到,但是,到底怎么用,相信很多人是一知半解,那么,今天陈老师就给大家分享一下具体的使用方法。使用编码器之前,我们需要先学习高速计数器的概念。 一、什么时高速计数器 假如我们的plc的X0点接入了一个按钮,在plc里面写入以下的程序,我们按住按钮1次,那么计数器就会记1,按2次就记2,… …我们按1000次了,计数器c0的常开触点就会闭合,这很好理解。 假如我1秒按一次,那么,人为去按,那么按个1000次就能导通。 重点来了,如果说我不接按钮,我接了个光电感应器或者编码器去感应,由机器去触发,机器运行的速度非常快,可能1秒按了几百次,甚至几百几千次,我们的X0的常开触点就感应不了了,那么怎么办,我们可以用高速计数器。 如下表,是我们的单相的高速计数器 假如我把光电感应器接到,X0,那么C235,就是它的专用的计数器,X0每感应到的每一个信号都会用C235进行计数,我们用以下程序就能把X0感应到的脉冲数存放到D235里面。(同理,C236记录的是X1的脉冲数;C237记录的是X2的脉冲数… …)

当然计数器的计数频率是有个极限的,普通的FX系列的X点,接受的速度是50KHz,就是1秒钟能接收导通50 000次。 接下来,看看编码器是怎么使用的。 二、编码器的使用(相对值编码器) 右图是一个编码器,转动上面的轴可以发出2个信号,每转动一定的角度,这2个输出都会闭合一定的次数,就像上面的光电感应器的接线一样,接线可以接到2个X点上面去。然后我们可以 通过高速计数器来对它进行计数,从而知道它转动了多少距离。它与前面那种 一个点输入的感应器又不一样,编码器正转计数会增加,反转计数应该减少。 作用的话定位才能准确。这时我们需要用到下面另外一种高速计数器 如下图: 我们可以选用C251到C255这几个计数器,假如我的编码器接的是X0和X1(接线后面再讲),那么选用的就是C251,我们来写一段程序看看: 这样,我们就把编码器记录的位置记录在D0、D1两个寄存器里面了。 最后我们来看看接线。 三、编码器的接线 如下图,我们选择一款编码器进行接线,先来看看原理

西门子S7-200系列PLC在编码器中应用实例

编码器在西门子S7-200 系列PLC应用实例 西门子PLC如何与旋转编码器连接 PLC程序: LD SM0.1 CALL SBR_0 NETWORK1//子程序0开始 //配置HSC1 LD SM0.1//首次扫描时 MOVB16#F8SMB47//配置HSC1: //-启用计数器 //-写入新当前值 //-写入新预设值 //-将初始方向设为向上计数 //-选择现用水平高的起始和复原输入 //-选择4x模式 HDEF111//将HSC1配置为正交模式, //具有复原和起始输入功能 MOVD+0SMD48//清除HSC1的当前值 MOVD+50SMD52//将HSC1预设值设为50 ATCH INT_013//HSC1当前值=预设值(事件13) //附加在中断例行程序INT_0上 ENI//全局中断启用 HSC1//程序HSC1 NETWORK1//中断0开始 LD SM0.0 MOVD+0SMD48//清除HSC1的当前值 MOVB16#C0SMB47//选择仅写入一个新当前值, //使HSC1保持启用状态 HSC1//程序HSC1 ##############这个要看触摸屏接口是什么,有usb接口的,有485或者232串口的。 给你提供几个: USB-PPI USB接口的西门子PLC S7-200编程电缆,带指示灯,对应西门子产品:6ES7 901-3DB30-0XA0,通信距离达2公里,电缆长度为3米 USB-PPI+隔离型USB接口的S7-200PLC编程电缆,带指示灯,对应西门子产品:6ES7

901-3DB30-0XA0,通信距离达2公里,电缆长度3米 PC-PPI RS232接口的西门子S7-200PLC编程电缆,RS232/PPI接口,对应西门子产品号:6ES7901-3CB30-0XA0电缆长度为2米(一次20条) PC-PPI RS232接口的西门子S7-200PLC编程电缆,RS232/PPI接口,对应西门子产品号:6ES7901-3CB30-0XA0电缆长度为3米 6ES7901-3DB30-OXAO隔离型USB接口的西门子S7-200PLC多主站PPI编程电缆,直接使用STEP7MicroWIN软件中的USB接口,无需安装驱动程序,支持PPI、多主站PPI、高级PPI协议,支持187.5Kbps高速通信,100%同西门子6ES7901-3DB30-0XA0,3米,带通信指示灯。 6ES7901-3CB30-OXAO隔离型RS232接口的西门子S7-200全系列编程适配器电缆,RS232/PPI隔离,带通信指示灯. #######电脑的端口RS2322是发送,3是接受,5是接地。 PLC的端口是RS4853是A信号,8是B信号,5是接地 ###########旋转编码器是用来测量转速的装置,光电式旋转编码器通过光电转换,可将输出轴的角位移、角速度等机械量转换成相应的电脉冲以数字量输出(REP)。它分为单路输出和双路输出两种。技术参数主要有每转脉冲数(几十个到几千个都有),和供电电压等。单路输出是指旋转编码器的输出是一组脉冲,而双路输出的旋转编码器输出两组A/B相位差90度的脉冲,通过这两组脉冲不仅可以测量转速,还可以判断旋转的方向。 编码器如以信号原理来分可分为 增量脉冲编码器:SPC 绝对脉冲编码器:APC 两者一般都应用于速度控制或位置控制系统的检测元件. 增量型编码器与绝对型编码器的区分 工作原理 由一个中心有轴的光电码盘,其上有环形通、暗的刻线,有光电发射和接收器件读取,获得四组正弦波信号组合成A、B、C、D,每个正弦波相差90度相位差(相对于一个周波为360度),将C、D信号反向,叠加在A、B两相上,可增强稳定信号;另每转输出一个Z相脉冲以代表零位参考位。 由于A、B两相相差90度,可通过比较A相在前还是B相在前,以判别编码器的正转与反转,通过零位脉冲,可获得编码器的零位参考位。 编码器码盘的材料有玻璃、金属、塑料,玻璃码盘是在玻璃上沉积很薄的刻线,其热稳定性好,精度高,金属码盘直接以通和不通刻线,不易碎,但由于金属有一定的厚度,精度就有限制,其热稳定性就要比玻璃的差一个数量级,塑料码盘是经济型的,其成本低,但精度、热稳定性、寿命均要差一些。 分辨率—编码器以每旋转360度提供多少的通或暗刻线称为分辨率,也称解析分度、或直接称多少线,一般在每转分度5~10000线。 信号输出 信号输出有正弦波(电流或电压),方波(TTL、HTL),集电极开路(PNP、NPN), 推拉式多种形式,其中TTL为长线差分驱动(对称A,A-;B,B-;Z,Z-),HTL也称推拉式、推 挽式输出,编码器的信号接收设备接口应与编码器对应。 信号连接—编码器的脉冲信号一般连接计数器、PLC、计算机,PLC和计算机连接的

PLC高速计数器功能应用在定位控制上的案例

PLC高速计数器功能应用在定位控制上的案例 一、概述 切纸机械是印刷和包装行业最常用的设备之一。切纸机完成的最基本动作是把待裁切的材料送到指定位置,然后进行裁切。其控制的核心是一个单轴定位控制。我公司引进欧洲一家公司的两台切纸设备,其推进定位系统的实现是利用单片机控制的。控制过程是这样的,当接收编码器的脉冲信号达到设定值后,单片机系统输出信号,断开进给电机的接触器,同时电磁离合制动器的离合分离,刹车起作用以消除推进系统的惯性,从而实现精确定位。由于设备的单片机控制系统老化,造成定位不准,切纸动作紊乱,不能正常生产。但此控制系统是早期产品,没有合适配件可替换,只能采取改造这一途径。目前国进行切纸设备进给定位系统改造主要有两种方式,一是利用单片机结合变频器实现,一是利用单片机结合伺服系统实现,不过此两种改造方案成本都在两万元以上。并且单片机系统是由专业开发公司设计,技术保守,一旦出现故障只能交还原公司维修或更换,维修周期长且成本高,不利于改造后设备的维护和使用。我们结合自己设备的特点提出了新的改造方案,就是用PLC的高速计数器功能结合变频器的多段速功能实现定位控制,并利用HMI(人机界面HumanMachineInterface)进行裁切参数设定和完成一些手动动作。 二、改造的可行性分析 现在的大多PLC都具有高速计数器功能,不需增加特殊功能单元就可以处理频率高达几十或上百KHz的脉冲信号,而切纸机对进给系统的精度和响应速度要求不是很高。可以通过对切纸机进给系统相关参数的计算,合理的选用编码器,让脉冲频率即能在PLC处理的围又可以满足进给的精度要求。在进给过程中,让PLC对所接收的脉冲数与设定数值进行比较,根据比较结果驱动相应的输出点对变频器进行输出频率的控制,实现接近设定值时进给速度变慢,从而减小系统惯性,达到精确定位的目的。另外当今变频器技术取得了长足的发展,使电机在低速时的转矩大幅度提升,从而也保证了进给定位时低速推进的可行性。 三、主要控制部件的选取 1、PLC的选取 设备需要的输入输出信号如下: x0脉冲输入 x1脉冲输入 x2前限位 x3后限位y3前进! x4前减速位y4后退 x5电机运转信号y5高速 x6刀上位y6中速 x7滑刀保护y7低速 x10压纸器上位y10 x11光电保护y11 x12小车后位y12进给离合 x13双手下刀按钮y13压板下 x14停止按钮y14刀离合 x15连杆保护y15电机禁启动 x16刀回复到位 针对这些必需的输入点数,选用了FX1s-30MR的PLC,因为选用了人机界面,其它一些手动动作,如前进、后退、换刀等都通过人机界面实现,不需占用PLC输入点,从而为选用低价位的FX1s系列PLC成为可能,因为FX1s系列PLC输入点最多只有16点。另外此系列PLC

s7-200高速计数器使用技巧

s7-200高速计数器详细解说 一、高速计数器 普通计数器是通过两次扫描中输入端子的电平变化实现计数的,可以用普通的寄存器通过加1指令实现。特点是受扫描的影响,只能用于低频脉冲计数。高速脉冲使用PLC内部的高速计数器,各种PLC都内置高速计数器。S7-200 CPU具有集成的、硬件高速计数器。 CPU221和CPU222可以使用4个30kHz单相高速计数器或2个20kHz的两相高速计数器,而CPU224和CPU226可以使用6个30kHz单相高速计数器或4个20kHz的两相高速计数器。 高速计数器的主要功能就是对主机实际转速反馈进行测量,这是电子调速器的一项重要 功能,因为主机实际转速反馈测量的准确与否直 接关系到保证主机转速稳定,保证主机运行的安 全。重点介绍了S7-200 PLC高速计数器。在开 发研制中发现,采用S7-200 PLC高速计数器可 以非常准确地对电动机实际转速反馈进行测量, 而且硬件实现非常简单,价格也比较低,具有很 大的应用价值。 (一)概述 普通计数器是通过两次扫描输入端子电平 变化来进行计数的,因此其端子输入脉冲的频率 必须必扫描频率低得多。对于高速脉冲而言,这 种方法会出现丢失脉冲导致计数错误。S7-200 内置了高速计数器HSC,其工作情况类似于单 片机中的计数器。起动后不受扫描周期的影响, 由硬件自动计数,当满足一定条件时发出中断申 请。其最高技术频率高达30KHz。 S7-200的计数器最多可以设置12种不同的 工作模式,用于实现高速运动的精确控制。 S7-200还设有高速脉冲输出,输出频率可 以高达20KHz。用于PTO(脉冲串输出,输出 一个频率可调,占空比50%的脉冲。)和PWM(脉宽调制脉冲)。PTO用于带有位置控制功能的步进电机控制或者伺服电机驱动器控制,通过输出脉冲的个数作为位置给定值的输入,以实现定位控制功能。通过改变脉冲的输出频率,可以改变运动的速度。PWM用于直接驱动调速系统或运动控制系统的输出,控制主逆变回路。 1.高速计数器指令 普通计数器受CPU扫描速度的影响,是按照顺序扫描的方式进行工作。在没个扫描周期中,对计数脉冲只能进行一次累加;对于脉冲信号的频率比PLC的扫描频率高时,如果仍采用普通计数器进行累加,必然会丢失很对输入脉冲信号。在PLC中,对比扫描频率高

巧用中断—PLC扩展AB相高速计数器方法

巧用中断——PLC扩展AB相高速计数的方法 杨敬东 (广东佛山菜鸟控制实验室) 摘要:本文介绍了利用可变程序控制器PLC的中断机制,扩展PLC中的AB两相高速计数器的方法。 一、引言:PLC可编程序控制器,是一种工业上广泛应用的通用控制器,但是在应用实践中,不少情况是应用的要求,略为超出了PLC的资源,通常是IO端口的不匹配,最遗憾的是,有时只差1、2个端口,就要选购大一级点数规格的PLC,所以很多学者研究了不增加成本的情况下扩展IO端口的方法。但AB两相高速计数器不够用而扩展的,恐怕大多数人认为,只能购买昂贵的高速计数器特殊模块了。但如果满足特定条件时,也可以用PLC基本单元作少量增加,以下以三菱FX系列PLC基本单元为例扩展1路AB相高速计数器。 二、问题背景:某机械设备设计制造公司要设计制造一种液压机械,机器需要用到3把AB相光栅尺,其中1把连接到液压缸驱动的进给机构,以作为定位之用,运动速度高;另外2把连接到一个平面上的X轴、Y轴两个方向的调节机构,该2个调节机构进给速度相对前者稍慢。公司计划采用三菱FX1N系列PLC基本单元作为控制器,由于三菱FX1N系列PLC基本单元最多只能同时接入2个AB相高速计数器,于是将其分配接入2把AB相光栅尺,完成X轴、Y轴调节机构的功能,另购置1个高速计数器特殊功能模块,完成进给机构高速定位功能。但是,PLC特殊功能模块的价格是比较昂贵的,如果机器

的生产数量是比较多的话,就削弱了产品的竞争力。 三、FX1N系列PLC内部高速计数器和外部中断简介: 内部21点高速计数器C235—C255共用PLC特定的8个输入端X0—X7,某一高速计数器输入端都有其对应的输入端口,不同类型的高速计数器可以同时使用,但是它们的输入端不能冲突。其中AB相高速计数器与端口的对应关系如表—1: 因此,最多只能同时使用2个AB相高速计数器。 FX1N系列PLC有6个外部中断输入端X0—X5,分别对应中断指针I□0□,同一输入中断源只能使用上升沿触发或下降沿触发,不能同时是上升沿和下降沿触发。用于中断的输入点不能与已经用于高速计数器的输入点冲突。 四、问题的解决:回到问题的背景,如何可以在不改变硬件和增

PLC内置高速计数器使用方法

PLC内置高速计数器使用方法 https://www.wendangku.net/doc/be14254549.html, 2008-11-25 2:25:18 来源:528工控网浏览次数:807 FXPLC通过RS板与VB通讯源码VB与欧姆龙PLC通讯源码 VB与三菱FX系列PLC编程口通信源码VB与三菱变频器485通讯源码 VB与松下PLC(FP系列)通讯源码VB与西门子S7-200PLC(PPI协议)通讯源码 VB与永宏PLC(fatek)通讯源码台达PLC编程口VB通讯源码(MODBUS) PLC内置高速计数器根据特定的输入执行中断处理高速动作,它与PLC的扫描无关。本文以三菱FX系列PLC为例说说高速计数器的使用方法。 不会使用高速计数器的很大原因是对上面的图理解不细,编程手册上已经讲得很清楚,本文只是大致说说,给您抛砖引玉。 如C235下面的U/D对应的是X0,也就表示C235是对输入X0的脉冲信号进行计数,当X0有OFF-->ON的变化时,C235在驱动的情况下自动计数。 同理:C241,C244,C246,C247,C249,C251,C252,C254都是针对X0进行计数的。 明白了C235的计数目标,从上图就不难看出C236,C237的计数目标 知道了高速计数器的计数目标,还需要知道高速计数器的计数方向。从上图可看出M8235是控制高速计数器C235的计数方向的,M8235=OFF时是增计数,M8235=ON时是减计数。 同理:M8236---M8245分别是控制高速计数器C236---C245的计数方向。 M8235--M8245初始是断开状态,所以C235等高速计数器默认是增计数。 本文不多说了,因为水平太差,怕说多了误导您,您认真看一下编程手册吧。下面看一个特简单的高速计数器计数方式。 上图中 1、当M0闭合时,C235得电计数X0动作了217次,其设定值为100,所以C235的常开点闭合.从上图可以看出:C235的计数值超过其设定值后照样计数下去。 2、在C235计数过程中M0断开,C235失电停止计数,但其计数值与触点状态不变。 注:C235的驱动点断开并不能起到复位的作用! 3、当M2闭合时,C235的计数值清零,其触点状态也断开。 上图中的高速计数器的编程并不合理,因为C235触点的状态改变受到程序扫描周期的影响。

s7-200高速计数器详细解说

s7-200高速计数器详细解说 1.高速计数器指令 普通计数器受CPU扫描速度的影响,是按照顺序扫描的方式进行工作。在没个扫描周期中,对计数脉冲只能进行一次累加;对于脉冲信号的频率比PLC的扫描频率高时,如果仍采用普通计数器进行累加,必然会丢失很对输入脉冲信号。在PLC中,对比扫描频率高的输入信号的计数可也使用高速计数器指令来实现。 在S7-200的CPU22X中,高速计数器数量及其地址编号表如下 CPU类型CPU221 CPU222 CPU224 CPU226 高速计数器数量 4 6 高速计数器编号HC0,HC3~HC5 HC0~HC5 1.高速计数器指令 高速计数器的指令包括:定义高速计数器指令HDEF 和执行高速计数指令HSC,如表HDEF HSC (1)定义高速计数器指令HDEF HDE指令功能是为某个要使用的高速计数器选定一种工作模式。每个高速计数器在使用前,都要用HDEF指令来定义工作模式,并且只能用一次。它有两个输入端:HSC为要使用的高速计数器编号,数据类型为字节型,数据范围为0~5的常数,分别对应HC0~ HC5;MOCE为高速计数的工作模式,数据类型为字节型,数据范围为0~11的常数,分别对应12种工作模式。当准许输入使能EN有效时,为指定的高速计数器HSC定义工作模式MODE。 (2)执行高速计数指令HSC HSC指令功能功能是根据与高速计数器相关的特殊继电器确定在控制方式和工作状态,使高速计数器的设置生效,按照指令的工作模式的工作模式执行计数操作。它有一个数据输入端N:N为高速计数器的编号,数据类型的字型,数据范围为0~5的常数,分别对应高速计数器HC0~HC5.当准许输入EN使能有效时,启动N号高速计数器工作。 2.高速计数器的输入端 高速计数器的输入端不像普通输入端那样有用户定义,而是由系统指定的输入点输入信号,每个高速计数器对它所支持的脉冲输入端,方向控制,复位和启动都有专用的输入点,通过比较或中断完成预定的操作。每个高速计数器专用的输入点如表 高速计数器的输入点

三菱Q PLC智能-高速计数

1、在MELSEC-Q系列可编程控制器基板上安装、使用的各种模块中,除CPU、电源、数字式I/O模块外的其它模块,叫做“智能功能模块”。 例如,用于模拟信号的输入/输出控制、与各种网络连接设备之间的通信控制以及定位控制的模块等都是智能功能模块。 智能功能模块在处理输入输出的位信号的同时,还处理字信息。 ﹡MELSEC-Q可编程控制器针对各种控制要素,备有多种智能功能模块。 ﹡智能功能模块相当于MELSEC-A系列的“特殊功能模块”。 Q系列可编程控制器的智能功能模块,根据其控制要素以及功能可分为以下几种。 2、以下所示为Q系列可编程控制器的各种智能功能模块及其概要。 ﹡本课程学习其中的“D/A转换模块”和“高速计数器模块”。

3、 模拟量模块以电压、电流、温度等为控制对象,对这些模拟信号进行处理。以下所示为D/A转换模块的构成图。

D/A转换模块用于将顺控程序中设定的数字量转换为模拟量(电压或电流)、并输出至外部设备。 3、高速计数器模块用于调用在外部机械设备上检测到的脉冲信号,并对脉冲的个数进行计数。计数值将被调用至CPU,用于进行速度、位置的计算以及机械的控制等。 4、输入输出信号 用于控制智能功能模块的ON/OFF信号(位信号)中,可编程控制器CPU的输入信号用“X”表示,可编程控制器CPU的输出信号用“Y”表示。 各个智能功能模块能够使用的输入输出点数(输入输出占有点数)固定不变,输入输出占有点数为16点时,信号被分配至输入16点、输出16点。 X信号的作用是,通过ON/OFF信号将智能功能模块的状态报告给CPU模块。 X信号的应用示例(高速计数器模块) ·模块READY信号 ·计数器值一致信号 ·保险丝熔断检测标记

S7-200PLC用高速计数器实现测量模拟量信号的方法

S7-200PLC用高速计数器实现测量模拟量信号的方法 电气自动化技术2010-05-28 12:58:14 阅读265 评论0 字号:大中小订阅 1、模拟量采集要求信号本身环境要好,包括传感器、仪表的供电良好!模拟量传输线路尽量避开强电电 缆和高、中、低频干扰,例如:高频焊管机、中频加热炉和变频器的输出到电机的电缆等,否则,给你的 真实信号中加点“佐料”,从而污染了信号源; 2、电气系统接地在施工设计中就要特别重视,如果现场接地处理不好,轻者干扰PLC系统正常工作,重者 在带有模拟量的控制回路中根本不能使用或者会损坏传感器、PLC的电源、模拟量等模块。如果说上面的注意事项仅仅是施工设计中需要注意的话,而下面的情况你就需要花更大的功夫了: 1、PLC的模拟量采集模块,没有采用模拟量与PLC回路隔离方式,因此,模拟量输入、输出回路就需要特 别当心,如果传感器或者输入回路串入高电压信号,当心其损坏PLC主机? 2、PLC模块采用了高速采样方式,可分辨0.25ms的信号变化,这本来是件好事,但实际使用其来却十分讨 厌,因为它太敏感了,以致影响了模拟量信号的正常采集,如果遇到信号回路串入干扰、屏蔽不良,则想 去掉干扰,单靠增加滤波时间是根本无法解决这类问题,我们曾经就遇到此类问题,不得已,将输入信号 经RC滤波回路过滤后才能勉强工作! 由于PLC控制的某些系统,经常要测量各类模拟电压/电流信号,以往通常用电压/电流传感器进行采样, 由PLC的模拟量扩展模块进行运算处理。电压传感器输出是模拟量,在电磁骚扰较强的环境中,容易出现 较大的测量误差;同时,由于占用模拟量扩展模块宝贵的输入点(模拟量扩展模块价格接近中、小型PLC 的价格,且输入点极少),使系统的性价比降低。当用电压/电流/频率转换器进行采样,进而用PLC高速

三菱PLC定时器、计数器常见32问

三菱PLC定时器、计数器常见32问 1、我想在程序中20个地方使用定时器的常开触点可以吗?如果定时器定时时间到,这20个常开触点是一起动作吗?答:可以,因为定时器的触点(常开或常闭)是一个编程软元件。它可以在程序中使用无限次。相当于有无线个触点供你使用。这20个触点不是一起动作的。PLC的程序运行是逐行逐行扫描执行的,扫描到那一行,那一行的触点才动作。因此,严格地讲,这20个触点是动作有限有后的,不是一起动作的。 2、书上说,定时器只有通电延时触点,我想用断电延时触点怎么办?答:定时器只有通电延时触点,如果想使用断电延时触点,只有通过编制断电延时程序才能做到,下图为一断电延时断开的程序,供参考。 3、我在他人程序中看到T5 D100,我不明白这个T5的定时时间设定值到底是多少?答:定时器T5 D100的定时器时间设定值就是数据寄存器D100的值。这是定时器定时时间间接设定方式。间接设定的好处是只要改变D100的值,就等于改变了定时器定时设定值。 4、定时器的当前值指什么?当前值有什么用?答:当定时器被驱动开始计时后,其数值是从0开始变化,一直变化到设定值为止,这个不停变化的值就叫做定时器的当前值。当前值给用户提供了一种定时器的使用功能,即与触点比较指令相配合,可以在设定值围任一时

间点对输出进行控制。5、如何提高定时器的定时精度?答:定时器根据计数时钟分为100ms,10ms和1ms三种,它们的区别在于定时时间的程序不同。100ms定时器是按照0.1s 的程序变化的,而1ms定时器是按照0.001s的程序变化的。如果要提高定时器的精度,就选用1ms定时器。6、定时器的三要素指什么?答:定时器的三要素是指定时器的启动、触点动作和复位。7、我一直不明白为什么在子程序中,要使用T192-T199定时器?难道使用其他定时器不行吗?答:在子程序中,既可以使用普通定时器,也可以使用子程序专用定时器T192-T199。他们两者的区别是普通定时器仅在执行子程序是才计时,如果不执行子程序,计时会中断。这样,就影响了计时的准确性,发生计时误差。而T192-T199则不会。在子程序中启动了专用定时器,即使子程序不执行了。定时器仍然继续计时,这样就保证了计时的准确性。8、什么是积算型定时器?他和通用定时器的差别哪里?答:积算型定时器又叫断电保持型定时器,这和通用定时器的区别在于积算型定时器在定时过程中,如果驱动条件断开或断电引起计时停止时,能保持计时当前值。而等到驱动条件接通或上电后,会在原来计时基础上继续计时,直到计时达到设定值为止。9、老师,请你详细介绍一下如何使定时器的复位知识?答:定时器复位根据其复位方式不同而不同,对通用型定时器来说,其启动和复位均由驱动条件来决定。驱动条

S7-1200高速计数功能说明介绍

涉及产品 1高速计数器 S7-1200 CPU提供了最多6个(1214C)高速计数器,其独立于CPU的扫描周期进行计数。可测量的单相脉冲频率最高为100KHZ,双相或A/B相最高为30KHZ,除用来计数外还可用来进行频率测量,高速计数器可用于连接增量型旋转编码器,用户通过对硬件组态和调用相关指令块来使用此功能。 2高速计数器工作模式 高速计数器定义为5种工作模式计数器,外部方向控制。单相计数器,内部方向控制。 双相增/减计数器,双脉冲输入A/B相正交脉冲输入。 监控PTO输出。 每种咼速计数器有两种工作状态。 外部复位,无启动输入。 内部复位,无启动输入。 所有的计数器无需启动条件设置,在硬件向导中设置完成后下载到CPU中即可启动高速计数器,在A/B相正交模式下可选择1X(1倍)和4X (4倍)模式,高速计数功能所能支持的输入电压为24V DC,目前不支持5V DC的脉冲输入,表1 列出了高速计数器的硬件输入定义和工作模式

要注意不是所有计数器可以同时定义为任意工作模式。 高速计数器的输入使用与普通数字量输入相同的地址,当某个输入点已定义为高速计数器的输入点时,就不能再应用于其它功能,但在某个模式下,没有用到的输入点还可以用于其它功能的输入 监控PTO的模式只有HSC1和HSC2支持,使用此模式时,不需要外部接线, CPU在内部已作了硬件连接,可直接检测通过PTO功能所发脉冲。 3高速计数器寻址 CPU将每个高速计数器的测量值,存储在输入过程映像区内,数据类型为32位 双整型有符号数,用户可以在设备组态中修改这些存储地址,在程序中可直接访问这些地址,但由于过程映像区受扫描周期影响,在一个扫描周期内,此数值不会发生变化,但高速计数器中的实际值有可能会在一个周期内变化,用户可通过 读取外设地址的方式,读取到当前时刻的实际值。以ID1000为例,其外设地址 为“ID1000 P”表2所示为高速计数器寻址列表 表咼速计数器寻址 4频率测量 S7-1200 CPU除了提供计数功能外,还提供了频率测量功能,有3种不同的频率 测量周期:1.0秒,0.1秒和0.01秒,频率测量周期是这样定义的:计算并返回新的频率值的时间间隔。返回的频率值为上一个测量周期中所有测量值的平均,无论测量周期如何选择,测量出的频率值总是以Hz(每秒脉冲数)为单位。 5高速计数器指令块

相关文档
相关文档 最新文档