文档库 最新最全的文档下载
当前位置:文档库 › 华为招基于FPGA工程师笔试简答题(本科)

华为招基于FPGA工程师笔试简答题(本科)

华为招基于FPGA工程师笔试简答题(本科)
华为招基于FPGA工程师笔试简答题(本科)

FPGA大公司面试笔试数电部分,看看你会多少

已有569 次阅读2011-12-22 08:13|系统分类:EDA/PLD|FPGA, 笔试, 数电

1:什么是同步逻辑和异步逻辑?(汉王)

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。答案应该与上面问题一致

〔补充〕:同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将

一直保持到下一个时钟脉冲的到来,此时无论外部输入x 有无变化,状态表中的每个状态都是稳定的。

异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。

2:同步电路和异步电路的区别:

同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。

异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,这有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。

3:时序设计的实质:

电路设计的难点在时序设计,时序设计的实质就是满足每一个触发器的建立/保持时间的而要求。

4:建立时间与保持时间的概念?

建立时间:触发器在时钟上升沿到来之前,其数据输入端的数据必须保持不变的时间。

保持时间:触发器在时钟上升沿到来之后,其数据输入端的数据必须保持不变的时间。

不考虑时钟的skew,D2的建立时间不能大于(时钟周期T - D1数据最迟到达时间T1max+T2max);保持时间不能大于(D1数据最快到达时间T1min+T2min);否则D2的数据将进入亚稳态并向后级电路传播

5:为什么触发器要满足建立时间和保持时间?

因为触发器内部数据的形成是需要一定的时间的,如果不满足建立和保持时间,触发器将进入亚稳态,进入亚稳态后触发器的输出将不稳定,在0和1之间变化,

这时需要经过一个恢复时间,其输出才能稳定,但稳定后的值并不一定是你的输入值。这就是为什么要用两级触发器来同步异步输入信号。这样做可以防止由于异步输入信号对于本级时钟可能不满足建立保持时间而使本级触发器产生的亚稳态传播到后面逻辑中,导致亚稳态的传播。

(比较容易理解的方式)换个方式理解:需要建立时间是因为触发器的D段像

一个锁存器在接受数据,为了稳定的设置前级门的状态需要一段稳定时间;需要保持时间是因为在时钟沿到来之后,触发器要通过反馈来所存状态,从后级门传到前级门需要时间。

6:什么是亚稳态?为什么两级触发器可以防止亚稳态传播?

这也是一个异步电路同步化的问题。

亚稳态是指触发器无法在某个规定的时间段内到达一个可以确认的状态。使用两级触发器来使异步电路同步化的电路其实叫做“一步同位器”,他只能用来对一位异步信号进行同步。两级触发器可防止亚稳态传播的原理:假设第一级触发器的输入不满足其建立保持时间,它在第一个脉冲沿到来后输出的数据就为亚稳态,那么在下一个脉冲沿到来之前,其输出的亚稳态数据在一段恢复时间后必须稳定下来,而且稳定的数据必须满足第二级触发器的建立时间,如果都满足了,在下一个脉冲沿到来时,第二级触发器将不会出现亚稳态,因为其输入端的数据满足其建立保持时间。同步器有效的条件:第一级触发器进入亚稳态后的恢复

时间+ 第二级触发器的建立时间< = 时钟周期。

更确切地说,输入脉冲宽度必须大于同步时钟周期与第一级触发器所需的保持时间之和。最保险的脉冲宽度是两倍同步时钟周期。所以,这样的同步电路对于从较慢的时钟域来的异步信号进入较快的时钟域比较有效,对于进入一个较慢的时钟域,则没有作用。

7:系统最高速度计算(最快时钟频率)和流水线设计思想:

同步电路的速度是指同步系统时钟的速度,同步时钟愈快,电路处理数据的时间间隔越短,电路在单位时间内处理的数据量就愈大。假设Tco是触发器的输入数据被时钟打入到触发器到数据到达触发器输出端的延时时间;Tdelay是组合逻辑的延时;Tsetup是D触发器的建立时间。假设数据已被时钟打入D触发器,那么数据到达第一个触发器的Q输出端需要的延时时间是Tco,经过组合逻辑的延时时间为Tdelay,然后到达第二个触发器的D端,要希望时钟能在第二个触发器再次被稳定地打入触发器,则时钟的延迟必须大于Tco+Tdelay+Tsetup,也就是说最小的时钟周期Tmin =Tco+Tdelay+Tsetup,即最快的时钟频率Fmax =1/Tmin。FPGA开发软件也是通过这种方法来计算系统最高运行速度

Fmax。因为Tco和Tsetup是由具体的器件工艺决定的,故设计电路时只能改变组合逻辑的延迟时间Tdelay,所以说缩短触发器间组合逻辑的延时时间是提

高同步电路速度的关键所在。由于一般同步电路都大于一级锁存,而要使电路稳定工作,时钟周期必须满足最大延时要求。故只有缩短最长延时路径,才能提

高电路的工作频率。可以将较大的组合逻辑分解为较小的N块,通过适当的方

法平均分配组合逻辑,然后在中间插入触发器,并和原触发器使用相同的时钟,就可以避免在两个触发器之间出现过大的延时,消除速度瓶颈,这样可以提高电

路的工作频率。这就是所谓"流水线"技术的基本设计思想,即原设计速度受限部分用一个时钟周期实现,采用流水线技术插入触发器后,可用N个时钟周期

实现,因此系统的工作速度可以加快,吞吐量加大。注意,流水线设计会在原数据通路上加入延时,另外硬件面积也会稍有增加。

8:时序约束的概念和基本策略?

时序约束主要包括周期约束,偏移约束,静态时序路径约束三种。通过附加时序约束可以综合布线工具调整映射和布局布线,是设计达到时序要求。

附加时序约束的一般策略是先附加全局约束,然后对快速和慢速例外路径附加专门约束。附加全局约束时,首先定义设计的所有时钟,对各时钟域内的同步元件

进行分组,对分组附加周期约束,然后对FPGA/CPLD输入输出PAD附加偏移约束、对全组合逻辑的PAD TO PAD路径附加约束。附加专门约束时,首先约

束分组之间的路径,然后约束快、慢速例外路径和多周期路径,以及其他特殊路径。

9:附加约束的作用?

作用:1:提高设计的工作频率(减少了逻辑和布线延时);2:获得正确的时序分析报告;(静态时序分析工具以约束作为判断时序是否满足设计要求的标准,

因此要求设计者正确输入约束,以便静态时序分析工具可以正确的输出时序报告)3:指定FPGA/CPLD的电气标准和引脚位置。

10:FPGA设计工程师努力的方向:

SOPC,高速串行I/O,低功耗,可靠性,可测试性和设计验证流程的优化等方面。随着芯片工艺的提高,芯片容量、集成度都在增加,FPGA设计也朝着高速、高度集成、低功耗、高可靠性、高可测、可验证性发展。芯片可测、可验证,正在成为复杂设计所必备的条件,尽量在上板之前查出bug,将发现bug的时间提前,这也是一些公司花大力气设计仿真平台的原因。另外随着单板功能的提高、成本的压力,低功耗也逐渐进入FPGA设计者的考虑范围,完成相同的功能下,考虑如何能够使芯片的功耗最低,据说altera、xilinx都在根据自己的芯片特点整理如何降低功耗的文档。高速串行IO的应用,也丰富了FPGA的应用范围,象xilinx的v2pro中的高速链路也逐渐被应用。总之,学无止境,当掌握一定概念、方法之后,就要开始考虑FPGA其它方面的问题了。

11:对于多位的异步信号如何进行同步?

对以一位的异步信号可以使用“一位同步器进行同步”,而对于多位的异步信号,可以采用如下方法:1:可以采用保持寄存器加握手信号的方法(多数据,控制,地址);2:特殊的具体应用电路结构,根据应用的不同而不同;3:异步FIFO。(最常用的缓存单元是DPRAM)

12:FPGA和CPLD的区别?

FPGA是可编程ASIC。

ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定

制集成电路。与门阵列等其它ASIC(ApplicaTIon Specific IC)相比,它们又具有

设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点。

CPLD FPGA

内部结构Product-term Look-up Table

程序存储内部EEPROM SRAM,外挂EEPROM

资源类型组合电路资源丰富触发器资源丰富

集成度低高

使用场合完成控制逻辑能完成比较复杂的算法

速度慢快

其他资源-PLL、RAM和乘法器等

保密性可加密一般不能保密

13:锁存器(latch)和触发器(flip-flop)区别?

电平敏感的存储期间称为锁存器。可分为高电平锁存器和低电平锁存器,用于不同时钟之间的信号同步。

有交叉耦合的门构成的双稳态的存储原件称为触发器。分为上升沿触发和下降沿触发。可以认为是两个不同电平敏感的锁存器串连而成。前一个锁存器决定了触发器的建立时间,后一个锁存器则决定了保持时间。

14:FPGA芯片内有哪两种存储器资源?

FPGA芯片内有两种存储器资源:一种叫block ram,另一种是由LUT配置成的内部存储器(也就是分布式ram)。Block ram由一定数量固定大小的存储块构成的,使用BLOCK RAM资源不占用额外的逻辑资源,并且速度快。但是使用的时候消耗的BLOCK RAM资源是其块大小的整数倍。

15:什么是时钟抖动?

时钟抖动是指芯片的某一个给定点上时钟周期发生暂时性变化,也就是说时钟周期在不同的周期上可能加长或缩短。它是一个平均值为0的平均变量。

16:FPGA设计中对时钟的使用?(例如分频等)

FPGA芯片有固定的时钟路由,这些路由能有减少时钟抖动和偏差。需要对时钟进行相位移动或变频的时候,一般不允许对时钟进行逻辑操作,这样不仅会增加时钟的偏差和抖动,还会使时钟带上毛刺。一般的处理方法是采用FPGA芯片自带的时钟管理器如PLL,DLL或DCM,或者把逻辑转换到触发器的D输入(这些也是对时钟逻辑操作的替代方案)。

17:FPGA设计中如何实现同步时序电路的延时?

首先说说异步电路的延时实现:异步电路一半是通过加buffer、两级与非门等(我还没用过所以也不是很清楚),但这是不适合同步电路实现延时的。在同步

电路中,对于比较大的和特殊要求的延时,一半通过高速时钟产生计数器,通过计数器来控制延时;对于比较小的延时,可以通过触发器打一拍,不过这样只能延迟一个时钟周期。

18:FPGA中可以综合实现为RAM/ROM/CAM的三种资源及其注意事项?

三种资源:block ram;触发器(FF),查找表(LUT);

注意事项:1:在生成RAM等存储单元时,应该首选block ram 资源;其原因有二:第一:使用block ram等资源,可以节约更多的FF和4-LUT等底层可编程单元。使用block ram可以说是“不用白不用”,是最大程度发挥器件效能,节约成本的一种体现;第二:block ram是一种可以配置的硬件结构,其可靠性和速度与用LUT和register构建的存储器更有优势。2:弄清FPGA的硬件结构,合理使用block ram资源;3:分析block ram容量,高效使用block ram资源;4:分布式ram资源(distribute ram)

19:Xilinx中与全局时钟资源和DLL相关的硬件原语:

常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG,IBUFGDS,BUFG,BUFGP,BUFGCE,BUFGMUX,BUFGDLL,DCM等。关于各个器件原语的解释可以参考《FPGA设计指导准则》p50部分。

20:HDL语言的层次概念?

HDL语言是分层次的、类型的,最常用的层次概念有系统与标准级、功能模块级,行为级,寄存器传输级和门级。

21:查找表的原理与结构?

查找表(look-up-table)简称为LUT,LUT本质上就是一个RAM。目前FPGA 中多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线的16x1的RAM。当用户通过原理图或HDL语言描述了一个逻辑电路以后,PLD/FPGA 开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入RAM,这

样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可

22:ic设计前端到后端的流程和eda工具?

设计前端也称逻辑设计,后端设计也称物理设计,两者并没有严格的界限,一般涉及到与工艺有关的设计就是后端设计。

1:规格制定:客户向芯片设计公司提出设计要求。

2:详细设计:芯片设计公司(Fabless)根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。目前架构的验证一般基于systemC语言,对价后模型的仿真可以使用systemC的仿真工具。例如:CoCentric和Visual Elite等。

3:HDL编码:设计输入工具:ultra ,visual VHDL等

4:仿真验证:modelsim

5:逻辑综合:synplify

6:静态时序分析:synopsys的Prime Time 7:形式验证:Synopsys的Formality.

硬件工程师面试题集(含答案-很全)

硬件工程师面试题集 (DSP,嵌入式系统,电子线路,通讯,微电子,半导体) 1、下面是一些基本的数字电路知识问题,请简要回答之。 (1) 什么是Setup和Hold 时间? 答:Setup/Hold Time 用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间(Setup Time)是指触发器的时钟信号上升沿到来以前,数据能够保持稳定不变的时间。输入数据信号应提前时钟上升沿(如上升沿有效)T 时间到达芯片,这个T就是建立时间通常所说的SetupTime。如不满足Setup Time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿到来时,数据才能被打入触发器。保持时间(Hold Time)是指触发器的时钟信号上升沿到来以后,数据保持稳定不变的时间。如果Hold Time 不够,数据同样不能被打入触发器。 (2) 什么是竞争与冒险现象?怎样判断?如何消除? 答:在组合逻辑电路中,由于门电路的输入信号经过的通路不尽相同,所产生的延时也就会不同,从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 (3) 请画出用D 触发器实现2 倍分频的逻辑电路 答:把D 触发器的输出端加非门接到D 端即可,如下图所示: (4) 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用OC 门来实现(漏极或者集电极开路),为了防止因灌电流过大而烧坏OC 门,应在OC 门输出端接一上拉电阻(线或则是下拉电阻)。 (5) 什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别? 答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系.电路设计可分类为同步电路设计和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步。异步电路具有下列优点:无时钟歪斜问题、低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性。 (7) 你知道那些常用逻辑电平?TTL 与COMS 电平可以直接互连吗? 答:常用的电平标准,低速的有RS232、RS485、RS422、TTL、CMOS、LVTTL、LVCMOS、ECL、ECL、LVPECL 等,高速的有LVDS、GTL、PGTL、CML、HSTL、SSTL 等。 一般说来,CMOS 电平比TTL 电平有着更高的噪声容限。如果不考虑速度和性能,一般TTL 与CMOS 器件可以互换。但是需要注意有时候负载效应可能引起电路工作不正常,因为有些TTL 电路需要下一级的输入阻抗作为负载才能正常工作。 (6) 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、锁存器/缓冲器)

19售前工程师面试问题

19 售前工程师面试问题 1. 专业是什么?之前的公司,工作经历,工作的经验 - 完整面试 , 戴尔( Dell ) 先是电话面试, 由 HR 面,主要问了一些个人的背景和个人之前的工作经历, 不涉及到技术, 感觉 HR 比较看中你在跟客户打交道时的一些能力, 估计跟这个职位有关, 用英语提了很多 问题,会考察英语能力,不过问题都不难。 2. 比较基础的一些技术问题,涉及的面比较多但不会深入的问。 技 面试的时候是 2 个人, 主要聊一些家庭和事业规划方面的事情, 些介绍,然后闲谈一些比较轻松的话题。 3. 是否能够独立完成系统设备清单配置,如果厂家提供的清单配置有问题能否及时发现? 完整面试 , 深圳 赛为智能工程有限公司 询问了一下离开上一家公司的原因; 了解了一下目前能达到的能力水平, 假设性的提出了一 些问题,问我怎么处理;了解了一下简历中的个人兴趣爱好的部分,最后谈了一下薪水 4. 职业规划。安防行业企业,松下的优势 - 完整面试 , 松下 一对二面试 5. 全球化战略需要,是否可以接受全球工作机会? - 完整面试 , 华为 完整的面试流程, 规模化的批量作业, 有序的组织工作, 高效的沟通技能, 开放的展现平台, 这些都给我留下了较深的印象! 6. 以往工作中遇到项目的具体实现技术方式,平台架构等 术有限 公司 电话面试初步了解工作经验,相关售前工作的具体内容。 验。 7. 介绍以往做过的项目。售前的职责是哪些 - 完整面 试 , 南京晓通网络科技有限公司 1, 先聊下以往的项目经验,然后假设场景提问,回答。 2.聊技术。 3.薪资。 8. 聊聊你对楼宇智能化的看法,介绍一下自己等 - 完整面试 , 天津依克斯数码 - 完整面试 , 北京游龙科 对公司的状况和市场会做一 - 完整面试 , 深圳康拓普信息技 1对 1面试再次介绍教育,工作经

华为硬件笔试题

华为各类工程师通信基础面试题库以及答案周凝2010-10-21 14:43:06 比较基础的题目,希望大家看了有所帮助 牛人权当复习了吧 1、语音信号数字化过程中,采用的是的量化方法是非均匀量化。 2、PCM30/32路系统中,每个码的时间间隔是488ns。 3、PCM30/32路系统中,TS0用于传送帧同步信号,TS16用于传送话路信令。 4、PCM30/32路系统中,复帧的重复频率为500HZ,周期为2ms。 5、程控交换机的硬件可分为话路系统和中央控制系统两部分,整个交换机的控制软件都放在控制系统的存储器中。 6、一般二氧化硅光纤的零色散波长在1310nm左右,而损耗最小点在1550nm波长左右。 7、G.652光纤是零色散波长在1310nm的单模光纤。 8、光缆的基本结构由缆芯、加强元件和护套组成。 9、常用的光缆结构形式有层绞式光缆、束管式光缆、骨架式光缆和带状式光缆。 10、在网状网的拓扑结构中,N个节点完全互连需要N(N-1)/2条传输线路。 11、在星型网的拓扑结构中,N个节点完全互连需要N-1条传输线路。 12、ATM技术是电路交换技术和分组交换技术的结合。 13、根据98年发布的《自动交换电话(数字)网技术体制》,我国电话网分为三级。

14、根据新的电话网体制,我国长途电话网分为二级。 15、当电话网全网为三级时,两端局之间最大的串接电路段数为5段,串接交换中心最多为6个。16、新体制中一级长途交换中心(DC1)为省(自治区、直辖市)长途交换中心,其职能主要是汇接所在省(自治区、直辖市)的省际长途来去话务和一级交换中心所在地的长途终端话务。 17、一级长途交换中心(DC1)之间以基干路由网状相连。 18、根据话务流量流向,二级长途交换中心(DC2)也可与非从属的一级长途交换中心DC1建立直达电路群。 19、一级长途交换中心DC1可以具有二级长途交换中心的职能。 20、本地网路由的选择顺序为:直达路由、迂回路由、最终路由。 21、数字本地网中,原则上端至端的最大串接电路数不超过3段。 22、根据CCITT的建议,国内有效号码的长度不超过12位,国际有效号码长度不超过15位。 23、我国电话网目前采用的编号方式为不等位编号。 24、No.7信令中,消息传递部分由低到高依次包括信令数据链路、信令链路功能和信令网功能三个功能级。 25、国内No.7信令网采用由HSTP、LSTP和SP组成的三级信令网。 26、常见的同步基准信号有2048Kbits/s和2048KHz。 27、我国的No.7信令网为三级网络结构。 28、我国No.7信令网中,第一级HSTP间采用A、B平面连接方式,A、B 平面内部各个HSTP网状相连,A和B平面成对的HSTP相连。 29、每个LSTP通过信令链至少要分别连接至A、B平面内成对的HSTP。

华为笔试试题大全

华为笔试试题大全 (陈远跃/整理) 1.static有什么用途?(请至少说明两种) 1)在函数体,一个被声明为静态的变量在这一函数被调用过程中维持其值不变。 2) 在模块内(但在函数体外),一个被声明为静态的变量可以被模块内所用函数访问,但不能被模块外其它函数访问。它是一个本地的全局变量。 3) 在模块内,一个被声明为静态的函数只可被这一模块内的其它函数调用。那就是,这个函数被限制在声明它的模块的本地范围内使用 2.引用与指针有什么区别? 1) 引用必须被初始化,指针不必。 2) 引用初始化以后不能被改变,指针可以改变所指的对象。 3) 不存在指向空值的引用,但是存在指向空值的指针。 3.描述实时系统的基本特性在特定时间内完成特定的任务,实时性与可靠性。 4.全局变量和局部变量在内存中是否有区别?如果有,是什么区别? 全局变量储存在静态数据库,局部变量在堆栈。 5.什么是平衡二叉树? 左右子树都是平衡二叉树且左右子树的深度差值的绝对值不大于1。 6.堆栈溢出一般是由什么原因导致的? 没有回收垃圾资源。 7.什么函数不能声明为虚函数? constructor函数不能声明为虚函数。 8.冒泡排序算法的时间复杂度是什么? 时间复杂度是O(n^2)。 9.写出float x 与“零值”比较的if语句。 if(x>0.000001&&x<-0.000001) 10.Internet采用哪种网络协议?该协议的主要层次结构? Tcp/Ip协议 主要层次结构为:应用层/传输层/网络层/数据链路层/物理层。 11.Internet物理地址和IP地址转换采用什么协议?

ARP (Address Resolution Protocol)(地址解析協議) 12.IP地址的编码分为哪俩部分? IP地址由两部分组成,网络号和主机号。不过是要和“子网掩码”按位与上之后才能区分哪些是网络位哪些是主机位。 13.用户输入M,N值,从1至N开始顺序循环数数,每数到M输出该数值,直至全部输出。写出C程序。 循环链表,用取余操作做 14.不能做switch()的参数类型是: switch的参数不能为实型。 上海华为的一道关于指针方面的编程题 int A[nSize],其中隐藏着若干0,其余非0整数,写一个函数int Func(int* A, int nSize),使A把0移至后面,非0整数移至数组前面并保持有序,返回值为原数据中第一个元素为0的下标。(尽可能不使用辅助空间且考虑效率及异常问题,注释规范且给出设计思路) 华为笔试题含答案 [软件工程题] 写一个程序, 要求功能:求出用1,2,5这三个数不同个数组合的和为100的组合个数。 如:100个1是一个组合,5个1加19个5是一个组合。。。。请用C++语言写。 答案:最容易想到的算法是: 设x是1的个数,y是2的个数,z是5的个数,number是组合数 注意到0<=x<=100,0<=y<=50,0<=z=20,所以可以编程为: number=0; for (x=0; x<=100; x++) for (y=0; y<=50; y++) for (z=0; z<=20; z++) if ((x+2*y+5*z)==100) number++; cout<

硬件工程师笔试题硬件工程师笔试题

硬件工程师面试试题 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 2、平板电容公式(C=εS/4πkd)。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C 上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<

网络售前工程师面试经常问到的一个问题

网络售前工程师面试经常问到的一个问题,三层交换机和路由器的区别 三层交换机与路由器近年来随着互联网和各企业信息化建设的迅猛发展,使人们越来越感觉到传统路由器已经从原来的交通指挥员变成了现在的路口瓶颈。而具有三层路由功能的交换机既可以工作在协议第三层替代或部分完成传统路由器的功能,同时又具有几乎第二层交换的速度,且价格相对便宜些,一时间很多人都开始想到三层交换机要替代路由器了!但事实又如何呢? 传统路由器在网络中扮演着隔离网络、隔离广播、路由转发、防火墙等角色,并且随着网络的不断发展,它们的工作量也在迅速增长。如今出于安全和管理方便等方便的考虑,VLAN技术在网络中大量应用。VLAN技术可以逻辑隔离各个不同的网段、端口甚至主机,而各个不同VLAN间的通信都要经过路由器来完成转发。由于局域网中数据流量很大,VLAN间大量的信息交换都要通过路由器来完成转发,这时候随着数据流量的不断增长路由器就成为了网络的瓶颈。 三层交换机使用了将三层路由技术和二层交换技术结合在一起的新技术——三层交换。数据在网络中是分段传递的,三层交换技术对一个数据流的第一段数据进行寻址并转发,然后在缓存中建立一个关于这个数据流的MAC地址与IP地址的映射表。当后续的数据流到达交换机时将对照这个映射表直接从二层将数据快速转发到目的地出口,而不用再经过三层路由功能来完成。正因如此,三层交换技术降低了因路由寻址、转发而造成的网络延迟,提高了数据包的转发效率。但是从技术上讲路由器和交换机在对数据包交换过程的操作上存在着明显的区别。路由器采用的是最长匹配的方式,实现起来比较复杂,通过基于微处理器的软件来完成。而对于三层交换来说,它的路由转发是针对某一数据流进行的,同时利用缓存(CACHE)技术来存储映射表,所以很容易采用基于硬件的ASIC芯片来实现。因此不难看出,同三层交换机相比路由器的功能更为强大!像NAT、VPN、ACL等功能目前仍无法完全被取代。在实际应用过程中处于同一个局域网中的各个子网的互联以及局域网中VLAN间的路由,可以用三层交换机来代替路由器。但在局域网必须与公网互联以实现跨地域的网络环境下,路由器就变成了不可或缺的设备。同时,一个完全构建在交换机上的网络会出现诸如碰撞、堵塞以及通信混乱等问题。如果使用路由器将网络化分为多个子网,通过路由器所具备的功能来有效地进行安全策略控制,则可以避免这些问题。另外,三层交换机暂时还不能提供完整的路由选择协议支持,而路由器则具备同时处理多个协议的能力。当连接不同协议的网络,例如以太网和令牌环的组合网络,依靠三层交换机是不可能完成网间路由和数据传输的。除此之外,路由器还具有第四层网络管理能力,例如ACL对数据流的安全控制功能,这也是三层交换机所不具备的。 根据三层交换机和路由器各自的特点来看,三层交换机并不等于路由器,同时也不可能取代路由器!在我们的实际选购中,应根据自身网络的特点与未来可能的发展需求来选择适合我们的产品。不论怎样,随着技术的发展、产品的完善最终获益的终将是我们消费者!局域网络的出现,迄今为止已经经历了三十多年,在这三十多年的发展历程中,从最初的无线技术ALOHA引出CSMA/CD核心技术,直到快速以太网(802.3u)及现代的GE(802.3z)10GE以太网技术的出现,不断的推陈出新。这就引发了一个问题,对于一个具体的网络,因为可选

华为校招·硬件技术工程师机考试题及答案

精品文档 1.(判断题)DRAM上电时存储单元的内容是全0,而Flash上电时存储单元的内容是全1。(4分) A.正确 B.错误 FLASH可保存 2.(判断题)眼图可以用来分析高速信号的码间干扰、抖动、噪声和衰减。(4分) A.正确 B.错误 3.(判断题)以太网交换机将冲突域限制在每个端口,提高了网络性能。(4分) A.正确 B.错误 4.(判断题)放大电路的输出信号产生非线性失真是由于电路中晶体管的非线性引起的。(4分) A.正确 B.错误 5.(判断题)1的8位二进制补码是0000_0001,-1的8位二进制补码是1111_1111。(4分) A.正确 B.错误 6.(判断题)洗衣机,电冰箱等家用电器都使用三孔插座,是因为如果不接地,家用电器是不能工作的。(4分) A.正确 B.错误 7.(判断题)十进制数据0x5a与0xa5的同或运算结果为:0x00。(4分) A.正确 B.错误 8.(判断题)硅二极管的正向导通压降比锗二极管的大(4分) A.正确 B.错误 9.(单选题)一空气平行板电容器,两级间距为d,充电后板间电压为u。然后将电源断开,在平板间平行插入一厚度为d/3的金属板。此时电容器原板间电压变为(4分) A.U/3 B.2U/3 C.3U/4 D.不变但电容的大小不是由 Q(带电量)或U(电压)决定的,即: C=εS/4πkd。其中,ε是一个常数, S为电容极板的正对面积, d为电容极板的距离, k则是静电力常量。而常见的平行板电容器 电容为C=εS/d.(ε为极板间介质的介电常数, S 为极板面积, d为极板间的距离。) 3电容器的电势能计算公式: E=CU^2/2=QU/2 10.(单选题)8086CPU内部包括哪些单元(4分) A.ALU,EU B.ALU,BIU C.EU,BIU D.ALU,EU,BIU 80x86从功能上分执行单元EU(Execution Unit),和总线接口单元BIU(Bus Interface Unit),执行单元由8个16位通用寄存器,1个16位标志寄存器,1个16位暂存寄存器,1个16位算术逻辑单元ALU及EU控制电路组成。 总线接口单元由4个16位段寄存器(CS,DS,SS,ES),1个16位的指令指针寄存器,1个与EU通信的内部暂存器,1个指令队列,1个计算20位物理地址的加法器∑及总线控制电路构成。 11.(单选题)为了避免50Hz的电网电压干扰放大器,应该用那种滤波器:(4分) A.带阻滤波器 B.带通滤波器 C.低通滤波器 D.高通滤波器 12.(单选题)关于SRAM和DRAM,下面说话正确的是:(4分) A.SRAM需要定时刷新,否则数据会丢失 B.DRAM使用内部电容来保存信息 C.SRAM的集成度高于DRAM D.只要不掉点,DRAM内的数据不会丢失 【解析】SRAM和DRAM都是随机存储器,机器掉电后,两者的信息都将丢失。它们的最大区别就是:DRAM是用电容有无电荷来表示信息0和1,为防止电容漏电而导致读取信息出错,需要周期性地给电容充电,即刷新;而SRAM是利用触发器的两个稳态来表示信息0和1,所以不需要刷新。另外,SRAM 的存取速度比DRAM更高,常用作高速缓冲存储器Cache。

华为笔试题大全(史上最齐全)

华为 1.static有什么用途?(请至少说明两种) 1)在函数体,一个被声明为静态的变量在这一函数被调用过程中维持其值不变。 2) 在模块内(但在函数体外),一个被声明为静态的变量可以被模块内所用函数访问,但不能被模块外其它函数访问。它是一个本地的全局变量。 3) 在模块内,一个被声明为静态的函数只可被这一模块内的其它函数调用。那就是,这个函数被限制在声明它的模块的本地范围内使用 2.引用与指针有什么区别? 1) 引用必须被初始化,指针不必。 2) 引用初始化以后不能被改变,指针可以改变所指的对象。 3) 不存在指向空值的引用,但是存在指向空值的指针。 3.描述实时系统的基本特性在特定时间内完成特定的任务,实时性与可靠性。 4.全局变量和局部变量在内存中是否有区别?如果有,是什么区别? 全局变量储存在静态数据库,局部变量在堆栈。 5.什么是平衡二叉树? 左右子树都是平衡二叉树且左右子树的深度差值的绝对值不大于1。 6.堆栈溢出一般是由什么原因导致的? 没有回收垃圾资源。 7.什么函数不能声明为虚函数? constructor函数不能声明为虚函数。 8.冒泡排序算法的时间复杂度是什么? 时间复杂度是O(n^2)。 9.写出float x 与“零值”比较的if语句。 if(x>0.000001&&x<-0.000001) 10.Internet采用哪种网络协议?该协议的主要层次结构? Tcp/Ip协议 主要层次结构为:应用层/传输层/网络层/数据链路层/物理层。 11.Internet物理地址和IP地址转换采用什么协议? ARP (Address Resolution Protocol)(地址解析協議) 12.IP地址的编码分为哪俩部分? IP地址由两部分组成,网络号和主机号。不过是要和“子网掩码”按位与上之后才能区分哪些是网络位哪些是主机位。

华为、中兴通信、康佳硬件工程师考试经验分享版

华为、中兴通信、康佳硬件工程师考试经验分享 一、华为专业面试 面试职位:硬件技术工程师(硬件开发方向),面试形式为一对一。 面试官对应聘者的考察主要基于一些最基础的硬件知识和在校期间所 做的项目。因为作者带了个自己设计的AVR单片机开发板,所以面试 问题主要围绕这个板子展开,如下: 1)假设LED的导通电流为5mA,计算限流电阻的大小。(此题主要考察LED的正向导通压降、欧姆定律。LED导通电压降一般为1.5V到2.5V,因颜色不同而不同) 2)JTAG的各信号线是什么意义?(JTAG为联合测试行动小组的英文简称,主要信号线为:TDI——测试数据输入,TDO——测试数据输出,TCK——测试时钟,TMS——测试模式选择,TRST——测试复位) 3)IIC总线协议。为什么总线需要上拉电阻?(SDA——串行数据线,SCL——串行时钟线。为了避免总线信号的混乱,要求各设备连接到总 线输出端时,为OD或者OC输出。上拉电阻作用为保持总线有正常的 高电平输出) 4)AD电路中,为什么采用磁珠滤波,而不是用电感? 5)按键的中断是电平触发还是边沿触发?两者有什么区别?(电平出发, 如果中断处理时间短于电平的时间,则会发生多次触发中断) 6)按键消抖。(软件延时消抖,硬件双稳态RS触发器消抖,最经济的 硬件消抖方式——RC电路滤波) 7)驱动蜂鸣器的三极管工作在哪个区?如果拿来作为反相器呢?(放大区,做反相器时工作在饱和区和截止区) 8)PCB的两条平行走线过长,会有什么后果?

9)四层PCB的层信号分布怎样的?为什么这样就EMC性能好?(信号层、地层、电源层、信号层) 10)画出简单的低通、高通滤波器? 二、中兴硬件笔试题 中兴硬件类笔试题比较变态,因为硬件开发、硬件测试、射频等工程师的笔试题都是一样的,所以范围覆盖非常广,包括:电路分析、模电、数电、单片机、C语言、汇编语言、FPGA、DSP、高频电路、通信原理、PCB设计等等。 1)三极管的三个工作区域及条件(放大区、截止区、饱和区) 2)PCB的3W原则和20H原则(3W是相邻走线的中心间距大于3倍标准线宽,H指的是电源层与底层之间的介质的厚度,把电源层的边缘向内所20H以上) 3)PCB相邻层走线的方向(尽量相互垂直) 4)第三代移动通信技术3G的制式有哪几种?(移动TD-SDCMA、联通WCMDA、电信CDMA2000) 5)SDRAM和FLASH的区别?程序加载在哪里运行?为什么?(SDRAM——静态同步RAM,FLASH——闪存。程序加载在SDRAM里,因为其读写速度快于FLASH) 6)摩尔状态机和米勒状态的区别?(Moore:输出只与状态相关,与输入无关;Melay:输出与状态和输入都相关) 7)“线与”问题。(“线与”就是将逻辑门的输出直接并联以实现逻辑与的功能。前提条件:逻辑门必须为OC/OD门) 8)锁相环的结构组成? 9)同步电路和异步电路的时钟问题?

华为笔试题(答案)

笔试题 选择题 1、以下程序的输出结果是: #include int main() { int n =4; while(n--) { printf("%d ", --n); } printf("\r\n"); return0; } 答案:2 0 2、设有以下宏定义: #define N 4 #define Y(n) ((N+1)*n) 则执行语句:Y(5+1)为: 答案:26 3、 enum ENUM_A { x1, y1, z1 =5, a1, b1 }; enum ENUM_A enumA =y1; enum ENUM_A enumB =b1; 请问enumA和enumB的值是多少? 答案:1, 7

4、若有函数max(a,b),并且函数指针变量p已经指向函数,当调用该函数时正确的调用方法是: 答案:(*p)(a,b)或者p(a,b) 5、对栈S进行下列操作:push(1), push(5), pop(), push(2), pop(), pop(), 则此时栈顶元素是: 答案:没有元素,栈空。 6、在一个32位的操作系统中,设void *p = malloc(100),请问sizeof(p)的结果是: 答案: 32位操作系统的寻址大小是32位,即4字节。 7、若已定义: int a[9], *p = a;并在以后的语句中未改变p的值,不能表示 a[1]地址的表达式是: A)p+1 B)a+1 C) a++ D) ++p 答案:C 8、设有如下定义: unsigned long pulArray[] = {6,7,8,9,10}; unsigned long*pulPtr; 则下列程序段的输出结果是什么? pulPtr = pulArray; *(pulPtr + 2) += 2; printf("%d, %d\r\n", *pulPtr, *(pulPtr + 2)); A) 8, 10 B) 6, 8 C) 7, 9 D) 6, 10 答案:D 9、以下程序运行后,输出结果是什么? void main() { char*szStr = "abcde"; szStr += 2; printf("%1u\r\n", szStr); return; } A) cde B) 字符c的ASCII码值 C) 字符c的地址 D) 出错 答案:C

如何成为一名优秀的系统集成售前工程师

如何成为一名优秀的系统集成售前工程师 系统集成是一项技术性很强的增值服务工作,而具体体现增值的服务内容是系统集成工程师的创造性劳动。 系统集成工程师通常分为售前和售后两类。售前工程师主要负责用户交流、建议方案的设计以及投标书的撰写等售前阶段的技术性工作;售后工程师则主要负责设备安装调试、系统测试、技术文档编写等售后工作。售前和售后工程师的划分不是绝对的,有时候一名工程师在项目中既要做售前工作又要做售后工作。一般来说,售前工程师比售后工程师需要更多的实际工作经验和更高的综合素质。 一名合格的系统集成工程师一般应具备以下的素质: 1、通晓计算机及网络基础理论,熟悉职有掌握了理论和技术才能正确、合理地设计网络系统,调养、完整地进行系统实施。 2、精通网络设备调试技术、服务器调试技术、基础应用平台调试技术和其中之一。掌握一种售后调试技术,是系统集成工程师必备的技能。 3、精通网络平台设计、服务器设计、基础应用平台设计等或其中之一。能够设计相应的网络系统和应用系统,是售前技能掌握情况的重要指标。 4、良好的口头语言表达能力和文字表达能力。在系统集成实施的各个阶段,诸如用户交流、方案与标书撰写、述标与答疑、用户培训和竣工文档编写等工作中,写作能力与口才是重要的基本素质。 5、较高的计算机专业英语水平。在系统集成中,英语随处可见,在产品介绍、产品配置与报价、产品技术文档、培训等资料方面,英语都是主要文字之一。越是高端的产品,英语使用的越普遍。有时还需要英语听说能力,而在国际招标项目中,英文写作能力将受到考验。 6、良好的人际交流能力和与他人协同工作能力。系统集成是一项需多人合作共同完成的系统工程,与同事、供货商、用户、厂商、施工队等的交流与合作

嵌入式软件工程师笔试题_华为课件【新版】

(一) 1什么是预编译,何时需要预编译: 答案: 1、总是使用不经常改动的大型代码体。 2、程序由多个模块组成,所有模块都使用一组标准的包含文件和相同的编译选项。在这种情况下,可以将所有包含文件预编译为一个预编译头。 2 char * const p char const * p const char *p 上述三个有什么区别? 答案: char * const p; //常量指针,p的值不可以修改 char const * p;//指向常量的指针,指向的常量值不可以改const char *p;//和char const *p 3 char str1[] = "abc"; char str2[] = "abc"; const char str3[] = "abc"; const char str4[] = "abc"; const char *str5 = "abc"; const char *str6 = "abc"; char *str7 = "abc"; char *str8 = "abc"; cout < < ( str1 == str2 ) < < endl; cout < < ( str3 == str4 ) < < endl; cout < < ( str5 == str6 ) < < endl; out < < ( str7 == str8 ) < < endl; 结果是:0 0 1 1 str1,str2,str3,str4是数组变量,它们有各自的内存空间;而str5,str6,str7,str8是指针,它们指向相同的常量区域。 4以下代码中的两个sizeof用法有问题吗? [C易] void UpperCase( char str[] ) // 将str 中的小写字母转换成大写字母 { for( size_t i=0; i

2014华为校园招聘笔试算法题汇总

华为2014笔试算法题汇总 1.通过键盘输入一串小写字母(a~z)组成的字符串。请编写一个字符串过滤程序,若字符串中出现多个相同的字符,将非首次出现的字符过滤掉。 比如字符串“abacacde”过滤结果为“abcde”。 要求实现函数:void stringFilter(const char *pInputStr, long lInputLen, char *pOutputStr); 【输入】pInputStr:输入字符串 lInputLen:输入字符串长度 【输出】pOutputStr:输出字符串,空间已经开辟好,与输入字符串等长; 【注意】只需要完成该函数功能算法,中间不需要有任何IO的输入输出 示例 输入:“deefd”输出:“def” 输入:“afafafaf”输出:“af” 输入:“pppppppp”输出:“p” main函数已经隐藏,这里保留给用户的测试入口,在这里测试你的实现函数,可以调用printf打印输出 当前你可以使用其他方法测试,只要保证最终程序能正确执行即可,该函数实现可以任意修改,但是不要改变函数原型。 一定要保证编译运行不受影响 [cpp] view plaincopy ////////////////////////////////////////////////////////////////////////// #include #include

using namespace std; bool g_flag[26]; void stringFilter(const char *pInputStr, long lInputLen, char *pOutputStr) { assert(pInputStr != NULL); int i = 0; if (pInputStr == NULL || lInputLen <= 1) { return; } const char *p = pInputStr; while(*p != '\0') { if (g_flag[(*p - 'a')]) { p++; }else{ pOutputStr[i++] = *p; g_flag[*p - 'a'] = 1; p++; } }

常见硬件工程师笔试题标准答案

硬件工程师笔试题 一、电路分析: 1、竞争与冒险 在组合逻辑中,在输入端的不同通道数字信号中经过了不同的延时,导致到达该门的时间不一致叫竞争。因此在输出端可能产生短时脉冲(尖峰脉冲)的现象叫冒险。 常用的消除竞争冒险的方法有:输入端加滤波电容、选通脉冲、修改逻辑设计等。 2、同步与异步 同步逻辑就是时钟之间有固定的因果关系。异步逻辑就是各时钟之间没有固定的因果关系。同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其它的触发器的状态变化不与时钟脉冲同步。 异步电路不使用时钟脉冲做同步,其子系统就是使用特殊的“开始”与“完成”信号使之同步 同步就就是双方有一个共同的时钟,当发送时,接收方同时准备接收。异步双方不需要共同的时钟,也就就是接收方不知道发送方什么时候发送,所以在发送的信息中就要有提示接收方开始接收的信息,如开始位,结束时有停止位 3、仿真软件:Proteus 4、Setup 与Hold time Setup/hold time 就是测试芯片对输入信号与时钟信号之间的时间要求。建立时间就是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就就是建立时间-Setup time、如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间就是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。 5、IC设计中同步复位与异步复位的区别 同步复位在时钟沿采集复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。 6、常用的电平标准 TTL: transistor-transistor logic gate晶体管-晶体管逻辑门 CMOS:Complementary Metal Oxide Semiconductor互补金属氧化物半导体 LVTTL(Low Voltage TTL)、LVCMOS(Low Voltage CMOS):3、3V、2、5V RS232、RS485 7、TTL电平与CMOS电平

最新运维工程师笔试试题

运维工程师笔试试题 姓名:________________ 日期:________________ 时间:________________ 一、选择题 1、下列系统默认端口号错误的是() A SSH端口22 B mysql端口3306 C Telnet端口20 D Https端口443 2、linux系统中查看ip地址的命令是() A ipconig B ifconfig C icmp D iptables 3、进入cisco路由特权模式的命令是() A system-view B enable C config D sudo su 4、在使用mkdir命令创建新的目录时,在其父目录不存在时先创建父目录的选项是() A -m B -d C -f D -p 5、文件file1 的访问权限为rw-r--r-- 对应权限用数字表示的是() A 744 B 755 C 644 D 655 6、正确的DNS查询解析的顺序是() A 缓存、是否本机、HOSTS文件、DNS服务器 B 是否本机、缓存、HOSTS文件、DNS服务器 C 缓存、是否本机、DNS服务器、HOSTS文件 D 是否本机、HOSTS文件、缓存、DNS服务器 7、DHCP服务器的主要作用是() A 动态IP地址分配 B 域名解析 C IP地址解析 D 分配MAC地址 8、当内网内没有条件建立dns服务器,又不想用IP访问网站,应配置的文件是() A hosts B sysconfig C network D hostname

9、mysql列出所有数据库的命令是() A show databases; B show tables; C select databases; D select tables; 10、在linux系统中,用来存放系统所需要的配置文件和子目录是() A /etc B /var C /root D /home 二、简答题 1、ISO/OSI 7层模型和TCP/IP 四层协议都是什么 2、网络排错所用到的命令,四种以上及其作用 3、写一个脚本进行nginx日志统计,得到访问ip最多的前10个(nginx日志路径:/home/logs/nginx/default/access.log

软件测试工程师面试题汇总(华为篇)

软件测试工程师面试题汇总(华为篇) 1、怎么来设计测试方案 根据测试需求(包括功能需求和非功能性需求),识别测试要点,识别测试环境要求,安排测试轮次,根据项目计划和开发计划做整体的测试安排。 被测试的特性:通过对需求规格说明书进行分析,列出本次测试需要进行测试的各部分特性(如要测试的功能需求、性能需求、安全性需求等等)。 不被测试的特性:由于资源、进度等方面原因,本次测试不列入测试范围的特性。 测试组网图:进行本次系统测试所需要的软硬件设备、配置数据及相互间的逻辑、物理连接。今后测试执行时需要依据这个组网图来进行环境的搭建。 2、如果给你一个B/S系统你怎么来进行测试 此题答案还可用于回答测试流程,测试流程题亦可参考15题。 阅读系统需求,充分理解需求,记录问题,并与项目需求人员充分沟通。 编写测试需求,包括系统功能和非功能测试要点、罗列测试类型、测试进度、质量要求等。 制定测试计划,包括熟悉测试业务、设计测试用例、执行测试用例、进行测试小结、编写测试报告,任务颗粒度一般应小于5人天 编写测试用例,根据测试方案设计用例,即便没有明确的性能和安全测试要求,也应识别进行此两项测试。 执行软件测试。 进行测试小结,如果测试持续时间较长,每个版本间隙总结本轮测试。 编写测试报告,总结测试过程,汇总度量数据。 3、怎么进行工作流的测试 把握需求,找准结点,理清流程,画出流转图,弄清节点间的数据流转,设计测试用例的时候必须覆盖所有可能的流程。 工作流: 如果问到有没有做过,根据对工作流的了解情况回答,如果比较了解,可以把参与的某个项目中说上一些有工作流的,如果不是很了解就说没有做过,但是学习过相关知识。 4、做性能测试的时候都需要关注哪些参数 并发访问量,服务器响应时间(最小、平均、最大) 并发性能测试的过程是一个负载测试和压力测试的过程,即逐渐增加负载,直到系统的瓶颈或者不能接收的性能点,通过综合分析交易执行指标和资源监控指标来确定系统并发性能的过程。 负载测试(Load Testing)是确定在各种工作负载下系统的性能,目标是测试当负载逐渐增加时,系统组成部分的相应输出项,例如通过量、响应时间、CPU负载、内存使用等来决定系统的性能。 负载测试是一个分析软件应用程序和支撑架构、模拟真实环境的使用,从而来确定能够接收的性能过程。压力测试(Stress Testing)是通过确定一个系统的瓶颈或者不能接收的性能点,来获得系统能提供的最大服务级别的测试。 疲劳测试是采用系统稳定运行情况下能够支持的最大并发用户数,持续执行一段时间业务,通过综合分析交易执行指标和资源监控指标来确定系统处理最大工作量强度性能的过程。疲劳强度测试可以采用工具自动化的方式进行测试,也可以手工编写程序测试,其中后者占的比例较大。 一般情况下以服务器能够正常稳定响应请求的最大并发用户数进行一定时间的疲劳测试,获取交易执行指标数据和系统资源监控数据。如出现错误导致测试不能成功执行,则及时调整测试指标,例如降低用户数、缩短测试周期等。还有一种情况的疲劳测试是对当前系统性能的评估,用系统正常业务情况下并发用户数为基础,进行一定时间的疲劳测试。 大数据量测试可以分为两种类型:针对某些系统存储、传输、统计、查询等业务进行大数据量的独立数据量测试;与压力性能测试、负载性能测试、疲劳性能测试相结合的综合数据量测试方案。大数据量测试的关键是测试数据的准备,可以依靠工具准备测试数据。 5、客户没给性能指数,怎么开展性能测试 如果客户没有提出明确的性能指标,可以按照惯例和经验设置,需要和项目经理协商,一般由项目经理确认,质量保证负责给出建议。 举例说一个Server端程序,要求峰值时CPU和MEM消耗在75%以下,而一个页面的访问响应时间一般认为

相关文档
相关文档 最新文档