文档库 最新最全的文档下载
当前位置:文档库 › Multisim 14.0图文安装汉化教程

Multisim 14.0图文安装汉化教程

Multisim 14.0图文安装汉化教程
Multisim 14.0图文安装汉化教程

Multisim14.0是一款专业的数字和模拟电路板设计软件,之前为大家提供了Multisim14.0以及Multisim14.0汉化包的下载,这里小编以windows10系统为例给大家讲一下具体的安装方法:

1.首先下载资源。

2.下载完成后解压文件.解压后双击setup.exe进行安装。如下图

3.双击后会提示需要输入序列号,在这里我们选中下面第二行“Install this product for evaluation”(不输入序列号)。然后点击“Next”.如下图

4.接下来选择软件安装路径。可以更改,也可以使用默认,在这里,第七下载小编使用默认安装路径。即:C:\Program Files(x86)\National Instruments\.然后点击“Next”继续.

5.继续点击“Next”.

6.软件正在安装,如下图

7.继续点击“Next”.如下图

8.接下来安装软件许可协议。选中“I accept the....”.然后点击“Next”如下图

9.继续点击“Next”.

10.接下来开始安装软件主程序。如下图

11.点击“Fish”软件就安装完成.

12.最后窗口会提示如下界面,选中“Yes”

13.之后软件会提示重启电脑,在这里我们选中最后一项“Restart Later”暂不重启。如下图

14.接下来对软件进行汉化.将汉化补丁“Chinese-simplified”复制到软件目录下的stringfiles文件夹,默认目录为C:\Program Files (x86)\National Instruments\Circuit Design Suite 14.0\stringfiles

15.软件就汉化完成,暂时不要打开软件。接下来我们对软件进行破解。将破解文件注册机解压。解压后双击“NI License Activator 1.2.exe”.如下图

17.运行后会出现如下界面,右键点击选择Active,五个框由灰变绿就可以。如下图

18.最后软件就破解完成了。打开软件就可以使用汉化免费版了。

Multisim 11.0详细的 安装+汉化+破解 全过程

Multisim是美国国家仪器(NI)有限公司推出的一款优秀的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 《数字电子技术》一书就是以Mulitisim作为教材工具,其强大的功能被广大老师、同学和自由爱好者所喜爱,所以本人决定在此做个教程以共大家学习参考之用。(文末附有下载) 一、安装 1、双击应用程序(379.35MB的那个)首先会出现如下窗口,确定即可。 2、确定后会出现如下窗口,说白了,就是个解压缩过程 3、选择第一项,然后解压缩后紧接着会出现如下窗口,仍选择第一项

4、然后选择“Install this product for evaluation”,试用的意思 5、接下来就按照提示一路狂Next就行,然后重启就行了

这样安装就算完成了,接下来就是汉化和破解了。 二、汉化 1、将ZH文件夹放到目录“...\Program Files\National Instruments\Circuit Design Suite 11.0\stringfiles”下。 记住,不是目录“X:\National Instruments Downloads”,这个文件是你安装时第二步解压缩后的文件,安装完后就可以删掉了。(好多朋友在这里犯错误) 2、再运行Multisim11,菜单里边的:Options\Gobal Preferences\convention\language\ZH(参考图片)

此时汉化任务已经完成 汉化说明:这是Multisim10.0的汉化,未完全汉化,但是已经够用了 三、破解 1、未破解时会出现如下窗口,试用30天后就不能用了

Multisim10.1下载+安装+注册+汉化

Multisim10.1下载+安装+注册+汉化(超详全步骤)(转) 因为网上大部分下载+安装+注册+汉化方法写得不是特别清楚,让人很晕。所以我写了这篇超详的教程。希望能对你们带来帮助。 先来介绍一下Multisim10.1: ●通过直观的电路图捕捉环境, 轻松设计电路 ●通过交互式SPICE仿真, 迅速了解电路行为 ●借助高级电路分析, 理解基本设计特征 ●通过一个工具链, 无缝地集成电路设计和虚拟测试 ●通过改进、整合设计流程, 减少建模错误并缩短上市时间 Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。凭借NI Multisim,您可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。借助专业的高级SPICE分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI LabVIEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。 全步骤: 1.用下载工具下载Multisim10.1,地址为 ftp://https://www.wendangku.net/doc/bb16900728.html,/evaluation/EWB/NI_Circuit_Design_Suite_10_1.exe 2.进入网站https://www.wendangku.net/doc/bb16900728.html,/files/7b23e117-c75b-11de-904e-0014221b798a/下载汉化破解压缩 包 3.解压缩第二步下载下来的压缩包 4.打开上一步解压出来的“注册机”文件夹 5.运行注册机.exe 6.单击generate后再单击COPY(COPY的作用是将生成的注册码复制到剪贴板里, 为后来的一步做准备) 7.打开刚刚下载的NI_Circuit_Design_Suite_10_1.exe 8.在弹出来的框中选择确定 9.点击右上角的UNZIP 10.进入C:\National Instruments Downloads\NI Circuit Design Suite\10.1 11.打开setup.exe,等待。 12.会看到3个输入框。在第三个输入框serial number里右键选择粘贴(第六步复制的注册码), 点击NEXT 13.最好不要改安装路径。直接点击NEXT. 14.接着点击NEXT.直至开始安装。 15.安装结束后会提示你重新启动。点击RESTART(重启),注:shut down是关机 16.重启后先运行注册机,不要运行安装好的Multisim10.1 17.在注册机界面中,点击Generate,然后再按左下角的Create license file.进入到

multisim12.0汉化版 附详细安装教程

multisim12.0汉化破解版附详细安装教程 软件名称:multisim12.0汉化破解版附详细安装教程 软件大小:562MB 软件语言:简体中文 软件介绍: multisim12是美国国家仪器有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。12.0是目前该软件的最高版本,现在已经成功破解,并且完全汉化,用户可放心使用,下面附带详细安装图文教程。软件包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力,再结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。 凭借multisim12.0汉化破解版,用户可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。借助专业的高级SPICE分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI Lab ⅥEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。 multisim12的专业特色: 1、模拟和数字应用的系统级闭环仿真配合Multisim和LabVIEW能在设计过程中有效节省时间; 2、全新的数据库改进包括了新的机电模型,AC/DC电源转换器和用于设计功率应用的开关模式电源; 3、超过2,000个来自于亚诺德半导体,美国国家半导体,NXP和飞利浦等半导体厂商的全新数据库元件; 4、超过90个全新的引脚精确的连接器使得NI硬件的自定制附件设计更加容易。multisim12.0汉化破解安装方法: 1、下载解压,双击“NI_Circuit_Design_Suite_12.0.exe”安装原版; 2、弹出对话框,选择“确定”;

Multisim 11仿真软件安装步骤

Multisim 11仿真软件安装步骤: 1.双击运行NI_Circuit_Design_Suite_11_0.exe,确定后,选择Unzip按钮运行软件自解压; 2.自解压完成后在C盘生成National Instruments Downloads文件夹存放安装源文件,并且 自动打开如图1安装界面 图1 3.点击图1中第1个选项进行安装,启动安装过程,并进入如图2画面,需输入序列号; 图2 4.双击安装文件夹中multisim11_keygenfull.exe,打开如下界面

图3 5.点击Generate按钮生成安装序列号,并点击Copy按钮复制序列号,粘贴到图2中输入 序列号处,并点击Next继续; 6.其它安装选项一般不必修改,直接点击Next继续,至如图4所示界面,取消图中的对 勾选择,不提示更新; 图4 7.点击Next继续,并接受2个许可协议之后,则启动安装过程; 8.安装完毕,重新启动计算机; 9.双击安装文件夹中multisim11_keygenfull.exe,重新打开如图3所示界面,按步骤10-12 生成3个许可证文件; 10.选中图3中Multisim Power Pro Edition项,点击Create license file…按钮,生成一个 Multisim许可证文件,文件名可任意指定,记住所生成文件所在的目录;

11.选中图3中Ultiboard Power Pro Edition项,点击Create license file…按钮,生成一个 Ultiboard许可证文件,文件名同样可任意指定,但不能与上一步的文件名重复; 12.选中图3中Multisim MCU Module项,点击Create license file…按钮,生成一个MCU模 块许可证文件,再用一个不同的文件名保存; 13.在Windows开始菜单中选择:所有程序->National Instruments->NI License Manager,打 开如图5所示的许可证管理器 图5 14.在许可证管理器的菜单中选择:选项->安装许可证文件,在弹出的窗口中找到前面生成 的3个许可证文件并打开,则完成了许可证安装,安装后展开本地许可证菜单,安装了许可证的3个软件前面指示灯为绿色,如图6所示,则表明安装成功;

最详细最好的Multisim仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image T echnologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim 经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

电路仿真软件Multisim_11.0安装使用教程及破解

Multisim 11.0 软件免费下载汉化激活全套 Multisim 11.0目前为最新版本。嵌入式系统 安装需要需要资料:17Embed,17嵌入式 1.Multisim11.0软件,免费下载地址: https://www.wendangku.net/doc/bb16900728.html,/c07n2rh7tb m 2. Multisim11.0汉化包+激活包免费下载地址: https://www.wendangku.net/doc/bb16900728.html,/c0frrgfutf Multisim是美国国家仪器(NI)有限公司推出的一款优秀的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 《数字电子技术》一书就是以Mulitisim作为教材工具,其强大的功能被广大老师、同学和自由爱好者所喜爱,所以本人决定在此做个教程以共大家学习参考之用。(文末附有下载) 一、安装 1、双击应用程序(379.35MB的那个)首先会出现如下窗口,确定即可。 2、确定后会出现如下窗口,说白了,就是个解压缩过程 一起嵌入式开发

3、选择第一项,然后解压缩后紧接着会出现如下窗口,仍选择第一项 4、然后选择“Install this product for evaluation”,试用的意思

5、接下来就按照提示一路狂Next就行,然后重启就行了嵌入式系统 这样安装就算完成了,接下来就是汉化和破解了。

嵌入式系统 二、汉化 1、将ZH文件夹放到目录“...\Program Files\National Instruments\Circuit Design Suite 11.0\stringfiles”下。 记住,不是目录“X:\National Instruments Downloads”,这个文件是你安装时第二步解压缩后的文件,安装完后就可以删掉了。(好多朋友在这里犯错误)17Embed,17嵌入式2、再运行Multisim11,菜单里边的:Options\Gobal Preferences\convention\language\ZH (参考图片)

Multisim 10.0安装+汉化教程

Multisim 10.0安装+汉化教程 一.安装教程 第一步:全部解压到一个文件夹 第二步:打开解压缩文件夹,点击setup.exe,运行,不要点击任何键. 同时打开Crack文件夹下注册机1文件夹内的注册机.exe.如下图所示。

第四步.将其粘贴到第一个打开的setup.exe中。然后next,默认安装路径后,到如下状态: 第五步.点击左上Support and Upgrade Utility前面的图标,选择第二个,点击Next。如下:

第七步.安装完后会出如下框. 注意:此为是否重启计算机,请点击叉号,关掉框即可~ 第八步.在Crack文件夹下打开注册机2文件夹内的Circuit Design Suite v10 KeyGen.exe应用 程序。如下:

输入2,点回车,生成俩个.lic文件。如图: 第九步.将文件载入到NI许可证管理器内。步骤如下: 在开始——程序——National Instruments——NI License Manger,运行。

点击选项——安装许可证文件。出如下框: 在我的电脑里Crack文件夹——注册机2文件内找到刚才生成的两个.lic格式的文件,点击SHIFT同时打开两个文件。出两次框图,问是否覆盖,均选择是。 关闭NI许可证管理器,安装程序结束。 二.汉化教程

第一步.在Crack文件夹下汉化说明内找到ZH文件,右击复制。粘贴在\Program Files\National Instruments\Circuit Design Suite 10.0\stringfiles文件夹下,之前有三个自带的语言,为日语,英语,德语。如下图: 如果之前安装没有更改路径,均在C盘。 第二步.打开开始——程序——National Instruments——Circuit Design Suite 10.0——Multisim.在菜单栏点击Options中的第一个选项Global Preferences,如下图:

Multisim10安装与破解

目录 第1章 Multisim 10破解步骤 (1) 1.1 安装 (1) 1.2 生成许可文件 (1) 1.3 安装可执行文件 (3)

第1章Multisim 10破解步骤 1.1 安装 安装过程中使用的安装序列号为F44G44444。 1.2 生成许可文件 在安装文件夹中的“KeyGen”文件夹中双击“KenGenfull.exe”执行文件,弹出如图1.1所示的界面。 图1.1 破解软件界面 点击“Multisim Power Pro Edition”选项后,点击【Create license file】按钮,弹出如图1.2所示界面。

图1.2 指定生成的许可文件保存路径 在此我们将许可文件保存在F盘根目录下一个名为“1”的文件夹中,将第一个生成的许可文件命名为1.lic并点击保存。当出现如图1.3所示的界面时表示第一个许可文件生成成功。 图1.3 许可文件生成成功 根据以上步骤,分别点击“Multisim Pro Full Edition”、“Multisim Pro Base Edition”、“Multisim MCU Module”、“Ultiboard Power Pro Edition”、“Ultiboard Pro Full Edition”选项生成其余的5个可执行文件,将其分别命名为2~6.lic。

1.3 安装可执行文件 如图1.4所示左键点击“NI license Manager”。 图1.4 双击“NI license Manager” 弹出如图1.5所示许可证管理器界面对话框。 如图1.6所示点击【选项】→【安装许可证文件】后跳出如图1.7所示许可证文件路径选择的界面,我们将路径指定到第一小节生成的6个许可文件所在的文件夹,全部选中后点击打开。 关闭“NI license Manager”界面,即可完成Multisim 10的破解过程。 图1.5 许可证管理器界面

multisim 11.0 软件免费下载,汉化,激活全套

multisim 11.0 软件免费下载,汉化,激活全 套 来源:作者:https://www.wendangku.net/doc/bb16900728.html,发表时间:2011-06-13 18:41围观( 353) 进入嵌入式学习论坛交流>> 最近一直在弄multisim软件,终于被我搞订,详细如下:嵌入式系统 需要资料:17Embed,17嵌入式 1.multisim软件,免费下载地址: ftp://https://www.wendangku.net/doc/bb16900728.html,/evaluation/EWB/NI_Circuit_Design_Suite_11_0.exe https://www.wendangku.net/doc/bb16900728.html, 2.汉化包,免费下载地址:https://www.wendangku.net/doc/bb16900728.html, 3.激活包,免费下载地址: 方法, 嵌入式论坛 Multisim 11.0详细的安装+汉化+破解全过程 (2010-07-01 14:48:30 嵌入式论坛 Multisim是美国国家仪器(NI)有限公司推出的一款优秀的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 《数字电子技术》一书就是以Mulitisim作为教材工具,其强大的功能被广大老师、同学和自由爱好者所喜爱,所以本人决定在此做个教程以共大家学习参考之用。(文末附有下载) 一、安装 1、双击应用程序(379.35MB的那个)首先会出现如下窗口,确定即可。 2、确定后会出现如下窗口,说白了,就是个解压缩过程

一起嵌入式开发 3、选择第一项,然后解压缩后紧接着会出现如下窗口,仍选择第一项 4、然后选择“Install this product for evaluation”,试用的意思

multisim安装步骤

[转]仿真软件Multisim 10下载地址与破解补丁 软件分享 2008-11-14 17:13:58 阅读16912 评论28字号:大中小订阅 仿真软件Multisim 10下载地址与破解补丁 ftp://https://www.wendangku.net/doc/bb16900728.html,/evaluation/EWB/NI_Circuit_Design_Suite_10_0.exe 安装序列号:F44G44444 破解补丁见上传附件…… Multisim 10破解补丁 请用THUNDER下载 ftp://https://www.wendangku.net/doc/bb16900728.html,/evaluation/EWB/NI_Circuit_Design_Suite_10_0.exe or https://www.wendangku.net/doc/bb16900728.html,/BBS/ViewFile.asp?FileName=200732612211723.rar Multisim10破解补丁请用迅雷下载: https://www.wendangku.net/doc/bb16900728.html,/attach/A19001F4-0C5D-443D-9B3D-6272B1E4FF6D Multisim10汉化补丁请用迅雷下载: https://www.wendangku.net/doc/bb16900728.html,/data/group/pepo/attachment/20080331/633425621 438750000.rar 破解使用方法: 1。安装Multisim 10。 2。运行破解程序,生成3个许可文件。 3。进入开始—所有程序—National Instruments—NI License Manager。 4。选项—安装许可证文件,装入前面生成的3个许可文件,完成破解。 把文件放在安装文件夹下:National Instruments\Circuit Design Suite 10.0\stringfiles\english 就可以了。记得把旧文件做备份~~~ 下面是本人从网上查找到的资源,是不是真的是这么回事,还是要大家自己去看下了 Multisim v10.0.1+Labview V8.5简体中文版+KeyGen Circuit Design Suite v10.0.1

Multisim10的基本使用-搭建电路

Multisim10的基本使用 -------电路的搭建 电子技术爱好者都有两个愿望,一是拥有一间设备齐全的电子实验室,二是有快速学会电子技术的捷径。那就是电子仿真软件,只要有一台计算机和一套电子仿真软件就能实现你的愿望。它解决了电子实验室昂贵的配置(如下图所示)和实验耗材的浪费,你可随时随地的重复实验,对电路的测量直观、智能,是快速学会电子技术有利的工具。 电子仿真软件很多,但比较适合初学者的,就是目前使用较多的NI Multisim10电子仿真软件,它有许多版本,这里介绍的是Multisim10.0.1教育汉化版本。从现在开始,就让我们一起走进这个虚拟电 子实验室,来搭建电路和仿真测量电路。 知识准备 指针万用表 数字示波器 频率计 模拟示波器 低频信号发生器 高频信号发生器 频谱仪 逻辑分析仪 数字万用表

什么是虚拟电子实验室? 在安装有NI Multisim10电子仿真软件的电脑桌面或程序中找到程序 Multisim10,如图1-1所示。 (a)桌面快捷图标(b)Nation Instruments中程序Mulitism 图1-1 启动Multisim10的两种方法 启动程序Mulitism10,将出现如图1-2所示的启动界面。 图1-2 Mulitism10的启动界面 程序启动后,出现如图1-3所示的Multisim10后的操作界面,即:虚拟电子实验平台。 Multisim(含义是多重仿真),NI Multisim 10是美国NI公司2007年推出的,它沿袭了加拿大IIT 公司EWB的优良传统,在内容和功能上已有很大的不同。它能兼容以前从EWB5.0开始的所有版本,它不仅可完成一般电子电路的虚拟仿真测量,在LabVIEW虚拟仪器、单片机仿真等方面都有很大的创新和提高。虽目前Multisim已升级为Multisim 11(增强了单片机和制版功能),但Multisim 10版本目前在中国电子企业行业应用极为普及。

最详细最好的multisim仿真教程

最详细最好的multisim仿真教程第13章 Multisim模拟电路仿真 本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。

1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、 Multisim7、 Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。 图13.1-1 Multisim10用户界面 菜单栏与Windows应用程序相似,如图13.1-2所示。

multisim安装教程

中文名: 虚拟电子实验室10.0 英文名: NI.Multisim 10.0 别名: 电路仿真与绘制10.0 资源格式: 压缩包 版本: V10.0汉化破解版 发行时间: 2007年 NI公司 地区: 美国 语言: 简体中文 简介: 【软件介绍】 Multisim本是加拿大图像交互技术公司(Interactive Image Technoligics简称IIT公司)推出的以Windows为基础的仿真工具,被美国NI公司收购后,更名为NI Multisim ,而V10.0是其(即NI,National Instruments)最新推出的

Multisim最新版本。 目前美国NI公司的EWB的包含有电路仿真设计的模块Multisim、PCB设计软件Ultiboard、布线引擎Ultiroute及通信电路分析与设计模块Commsim 4个部分,能完成从电路的仿真设计到电路版图生成的全过程。Multisim、Ultiboard、Ultiroute及Commsim 4个部分相互独立,可以分别使用。Multisim、Ultiboard、Ultiroute及Commsim 4个部分有增强专业版(Power Professional)、专业版(Professional)、个人版(Personal)、教育版(Education)、学生版(Student)和演示版(Demo)等多个版本,各版本的功能和价格有着明显的差异。 NI Multisim 10用软件的方法虚拟电子与电工元器件,虚拟电子与电工仪器和仪表,实现了“软件即元器件”、“软件即仪器”。NI Multisim 10是一个原理电路设计、电路功能测试的虚拟仿真软件。 NI Multisim 10的元器件库提供数千种电路元器件供实验选用,同时也可以新建或扩充已有的元器件库,而且建库所需的元器件参数可以从生产厂商的产品使用手册中查到,因此也很方便的在工程设计中使用。 NI Multisim 10的虚拟测试仪器仪表种类齐全,有一般实验用的通用仪器,如万用表、函数信号发生器、双踪示波器、直流电源;而且还有一般实验室少有或没有的仪器,如波特图仪、字信号发生器、逻辑分析仪、逻辑转换器、失真仪、频谱分析仪和网络分析仪等。 NI Multisim 10具有较为详细的电路分析功能,可以完成电路的瞬态分析和稳态分析、时域和频域分析、器件的线性和非线性分析、电路的噪声分析和失真分析、离散傅里叶分析、电路零极点分析、交直流灵敏度分析等电路分析方法,以帮助设计人员分析电路的性能。 NI Multisim 10可以设计、测试和演示各种电子电路,包括电工学、模拟电路、

Multisim11.0安装及破解

Multisim11.0安装及破解 Multisim有专业版、全功能版、教育版等诸多版本,但版本的区别只在你安装之后的注册,注册哪个 版本就是哪个版本了。 RT: 为了给大家说明一下我把所有版本都激活了,显示绿色的都是激活的。启动的时候也会显示激活的是哪个 版本,即正在打开的包括哪些版本。RT:显示的是教育版 和专业版。 需要说明的是通常下载的版本中没有教育版,教育版是单独的。我给大家介绍的是专业版的安装破解,方法都是一样的,我只不过给初次使用的朋友说一下减少了摸索过程。 NI Circuit Design Suite 11.0官方下载地址 11.0 教育版VeryCD下载:

ed2k://|file|%5B%E7%94%B5%E5%AD%90%E4%BB%BF%E7%9C%9F%E8%BD%AF%E4%BB%B6 %5D.NI_Circuit_Design_Suite_11_0_Education.exe|417245728|d862d01bee1cb293e5708931c3ed4d2 3|h=hyixjgq3b4wnbyxmzivx5hez24rnajqf|/ 11.0.1下载:ftp://https://www.wendangku.net/doc/bb16900728.html,/evaluation/EWB/NI_Circuit_Design_Suite_11_0_1.exe 11.0注册机及汉化文件 下载地址(Rayfile下载) 可以到电驴VeryCD上也能搜到Multisim11.0的各个版本。 Rayfile不支持迅雷,但只要稍微百度一下,很容易找到注册机的。 注册机使用及汉化方法仅供第一次使用者参考。 一、产生注册码及许可证文件 1、运行注册机,点击窗口中的Generate,出现serial number如: 2、“Copy” serial number:E79P64468,记录下serial number,比如粘贴在记事本中。 3、产生许可证文件:

multisim10安装过程

multisim10.0 的安装文件就不管你是从哪里来的了,应该都一样。
XP 和 win7
我的是 XP 系统,安装很顺利,但不知道为什么那么多的人装不好呢?装好了还破解不了? 具体步骤: 1:解压原压缩文件 其实安装文件也是一个压缩包,可以右键→解压到 multisim10,用 WinRAR 解压出来。如果双击打开的的话 也是进行一个解压的过程。
〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓
=========================== 2:开始安装 解压后的文件夹里 点 setup 或者 Autorun 后可以开始安装,但是有点区别,setup 就直接进入安装过程;
点 Autorun 就进入标准的安装界面,然后点 Install NI Circuit Design Suite 10.0 进入安装界面。
3:安装 像一些软件一样填写 Name 名字;Organization 组织单位;这些都是随便的啦,反正是破解。 关键是序列号 F44G44444 照填就是了;然后 Next》
选择安装目录,根据自己习惯改就是了;然后 Next》
选择安装的项目 Select the features to install,其中一项是 Support and Upgrade Utility,应该取消掉(点击就有选 项,X 掉就好) 。省得以后检查升级,还被封掉。然后 Next》
后面的协议当然后要 I agree 啦
然后就开始了正式的安装,需要一定的时间。 (说明:这个图是为了演示,安装的 Support and Upgrade Utility 部分,我截了一个图后就取消了,因为我已经安装过了)
最后的升级勾不要选,然后 finish。就完成了安装。
◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆
接下来是破解: crack
输入 10
复制后面的两个新文件
就是安装授权啦。网上下载破解程序(保证安全链接) ,运行后生成三个*.lic 的许可证文件。 然后运行 NI 许可证管理器→选项→安装许可证文件,找到并选择(按住 shift 可同时选)上一步生成的三个 *.lic 文件,接下来全部选择“是”就好了。关闭 NI 许可证管理器就算完成了破解了。
把前面复制的文件粘到 license 文件夹内
下面是汉化程序

multisim破解方法

multisim12是美国国家仪器有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。12.0是目前该软件的最高版本,现在已经成功破解,并且完全汉化,用户可放心使用,下面附带详细安装图文教程。软件包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力,再结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。 凭借multisim12.0汉化破解版,用户可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。借助专业的高级SPICE分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI LabⅥEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。 multisim12的专业特色:1、模拟和数字应用的系统级闭环仿真配合Multisim和LabVIEW 能在设计过程中有效节省时间; 2、全新的数据库改进包括了新的机电模型,AC/DC电源转换器和用于设计功率应用的开关模式电源; 3、超过2,000个来自于亚诺德半导体,美国国家半导体,NXP和飞利浦等半导体厂商的全新数据库元件; 4、超过90个全新的引脚精确的连接器使得NI硬件的自定制附件设计更加容易。multisim12.0汉化破解安装方法:1、下载解压,双击“NI_Circuit_Design_Suite_12.0.exe”安装原版; 2、弹出对话框,选择“确定”;

3、再选择“Browse...”按纽或直接输入“C:\multisim12”,点击“Unzip”将软件解压到此处; 4、完成后,软件将自动打开安装界面,选择“Install Ni Circuit design suite 12.0”开始安装; 5、随意填入用户名、组织名,再选择“install this product for evaluation”此按纽(注册码等软件安装成后输入),点击next下一步;

multisim10详细安装过程

multisim10.0的安装文件就不管你是从哪里来的了,应该都一样。 我的是XP系统,安装很顺利,但不知道为什么那么多的人装不好呢?装好了还破解不了? 具体步骤: 1:解压原压缩文件 其实安装文件也是一个压缩包,可以右键→解压到multisim10,用WinRAR解压出来。如果双击打开的的话也是进行一个解压的过程。 〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓 =========================== 2:开始安装 解压后的文件夹里点setup或者Autorun后可以开始安装,但是有点区别,setup就直接进入安装过程; 点Autorun就进入标准的安装界面,然后点Install NI Circuit Design Suite 10.0进入安装界面。 3:安装 像一些软件一样填写Name名字;Organization组织单位;这些都是随便的啦,反正是破解。 关键是序列号F44G44444照填就是了;然后 Next》 选择安装目录,根据自己习惯改就是了;然后 Next》 选择安装的项目Select the features to install,其中一项是Support and Upgrade Utility,应该取消掉(点击就有选项,X 掉就好)。省得以后检查升级,还被封掉。然后 Next》 后面的协议当然后要 I agree 啦 然后就开始了正式的安装,需要一定的时间。(说明:这个图是为了演示,安装的Support and Upgrade Utility部分,我截了一个图后就取消了,因为我已经安装过了)

最后的升级勾不要选,然后finish。就完成了安装。 ◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆◆ 接下来是破解: 就是安装授权啦。网上下载破解程序(保证安全链接),运行后生成三个*.lic 的许可证文件。 然后运行NI许可证管理器→选项→安装许可证文件,找到并选择(按住shift 可同时选)上一步生成的三个*.lic文件,接下来全部选择“是”就好了。关闭NI许可证管理器就算完成了破解了。 选“是” 〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓以后打开的时候也就不会去检查更新和升级了

Multisim 14.0汉化安装教程

1、运行NI_Circuit_Design_Suite_14_0.exe,默认解压C盘,改成其他盘并记住位置,解压后会自动运行其文件夹下的autorun.exe,如无运行可点击setup.exe直接安装。 2、点击第一项开始安装 3、输入任意Name和序列号,弹出窗口点击NO

4、选择安装目录,注意不要带有中文 5、将选项中的勾去掉

6、勾选允许用户协议 7、点击NEXT开始安装

8、等待安装完成 9、将汉化补丁“Chinese-simplified”复制到软件目录下的stringfiles文件夹,默认目录为C:\Program Files (x86)\National Instruments\Circuit Design Suite 14.0\stringfiles

10、运行破解工具“NI_Circuit_Design_Suite_14_0.exe”,右键点击Multisim14下的选项,点击“Activate..”将选项全部变为绿色即可。

Multisim 14.0新特性 1、主动分析模式 全新的主动分析模式可让您更快速获得仿真结果和运行分析。 2、电压、电流和功率探针 通过全新的电压、电路、功率和数字探针可视化交互仿真结果 3、了解基于Digilent FPGA板卡支持的数字逻辑 使用Multisim探索原始VHDL格式的逻辑数字原理图,以便在各种FPGA数字教学平台上运行。 4、基于Multisim和MPLAB的微控制器教学 全新的MPLAB教学应用程序集成了Multisim 14,可用于实现微控制器和外设仿真。 5、借助Ultiboard完成高年级设计项目 Ultiboard学生版新增了Gerber和PCB制造文件导出函数,以帮助学生完成毕业设计项目。 6、用于iPad的Multisim Touch 借助全新的iPad版Multisim,随时随地进行电路仿真。 7、来自领先制造商的6,000多种新组件 借助领先半导体制造商的新版和升级版仿真模型,扩展模拟和混合模式应用。 9、先进的电源设计 借助来自NXP和美国国际整流器公司开发的全新MOSFET和IGBT,搭建先进的电源电路。 10、基于Multisim和MPLAB的微控制器设计 借助Multisim与MPLAB之间的新协同仿真功能,使用数字逻辑搭建完整的模拟电路系统和微控制器Multisim教学版和专业版区别 Multisim教学版专为电路和电子技术相关内容的教学而开发,可实现学生在理论、仿真、实验室实验之间的无缝移动。无论应用于哪个领域,Multisim提供的强大环境都具有以下优势: 1、将电路理论和方程图形化/可视化并进行直观的互动 2、通过SPICE仿真深入理解特定课程的概念 3、与NI硬件教学平台无缝集成,轻松过渡到实验室 4、帮助学生在同一环境下更快完成设计项目 Multisim专业版包含SPICE仿真和原型设计工具,用于设计具有高可靠性的的电路。Multisim还优化了可用性,确保各领域专家和研究人员可通过以下功能快速设计PCB: 1、精确选择部件,更及时改善设计 2、通过仿真直观分析和视觉化设计 3、借助NI Ultiboard原型设计环境实现快速布局和布线 4、简化NI硬件附件的设计 5、使用NI LabVIEW集成原型验证方法

Multisim11激活步骤详解(个人亲写)

Multisim 11.0激活方法 1. 未激活时弹出以下对话框 2. 打开Multisim11.0注册机,默认单选Multisim Power Pro Edition,点击Create license file… 3. 选择路径为软件安装路径下的文件夹Licenses,取文件名MPPE(随便取),点击保存; 注意:此处路径选择非常重要,否则会报如下图的错误;

4.选择单选框Multisim Pro Full Edition,点击Create license file…,同样选择路径为软件安装路径下的文件夹Licenses,取文件名MPFE(随便取),点击保存; 5.同理,将注册机中其他单选框进行相同的处理,生产不同的.lic文件;根据个人使用软件情况,可以只生成部分功能的.lic 文件即可,也可以全部生成; 6. 生成所需的.lic文件后,关闭注册机; 7. 从开始菜单中选择NI License Manager,如下图 8. 弹出如下窗口

9.选中“Multisim 11.0”后,从选项菜单中点击“安装许可证文件” 10.从刚才保存.lic文件夹目录下,选择相应刚才生成的文件,点击“打开”; 11.在弹出的对话框中,选择“是”; 12.注意:将注册机界面中,前四个生成的lic文件,在选中“Multisim 11.0”后,安装许可证文件;13.注意:将注册机界面中,后二个生成的lic文件,在选择中“Ultiboard 11.0”后,安装许可证文件;

14.全部安装完成,关闭NI许可证管理器;15.启动软件,此时软件已经被激活,可放心使用。

multisim 11.0 下载,安装,激活,汉化全套

multisim 11.0 软件免费下载,安装,激活, 汉化全套 需要资料: 1.multisim软件, 2.汉化包,. 3.激活包, 免费下载地址(选一个即可): (1)ftp://https://www.wendangku.net/doc/bb16900728.html,/evaluation/EWB/NI_Circuit_Design_Suite_11_0.exe (2)ed2k://|file|%5B%E7%94%B5%E5%AD%90%E4%BB%BF%E7%9C%9F%E8 %BD%AF%E4%BB%B6%5D.NI_Circuit_Design_Suite_11_0.exe|397773344|b985 1090086b37105f3a8e8daf92b92e|h=aennl3x7q4323okyubrm7sdfihmfweqi|/ 方法:入式论坛 multisim 11.0 软件免费下载,安装,汉化,激活全套 Multisim是美国国家仪器(NI)有限公司推出的一款优秀的仿真工具,适用于板级的 模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 《数字电子技术》一书就是以Mulitisim作为教材工具,其强大的功能被广大老师、同学和自由爱好者所喜爱,所以本人决定在此做个教程以共大家学习参考之用。(文末附有下载) 注意版本:power pro edition是电子职业版,具有的功能是最全的,适合电子工程师使用,而full edition除了没有前者的极少数的一般用户使用不到得功能之外,其他的都是有的,适合一般的用户使用,如果两者都激活了,打开的是power pro edition。 一、安装

相关文档
相关文档 最新文档