文档库 最新最全的文档下载
当前位置:文档库 › 电子科技大学年数字电路试题

电子科技大学年数字电路试题

电子科技大学年数字电路试题
电子科技大学年数字电路试题

1 电子科技大学

2004年攻读工程硕士专业学位研究生入学试题

考试科目:数字电路

注意事项: 所有答案必须写在答题纸上,否则答案无效。

一、单项选择题(20分,每小题2分)

1.(25.1)10的二进制数是( )。

A. 10011.0001

B. 11001.0001

C. 10011.1000

D. 10011.1000

2.11101的补码是( )。

A. 00011

B. 00010

C. 10011

D. 10010

3.正逻辑的“或”门在负逻辑中是( )门。

A. 或门

B. 与门

C. 或非门

D. 与非门

4.若输入变量A ,B 全为1时,输出F=0,则其输出与输入的关系是( )。

A. 异或

B. 同或 C .与非 D. 或非

5.已知F=BD ABC +,选出下列( )可以肯定使F=0的情况:

A. A=0,BC=1

B. B=1,C=1

C. C=1,D=0

D. BC=1,D=1

6.n 变量的最小项应有( )个。

A. n

B. 2n C .n 2 D. 2n

7.逻辑函数)(C A B A F +=的对偶函数'F 是 ( )。 A.)('C A B A F ++= B. )('C A B A F ++= C. )('C A B A F ++= D. )

('C A B A F += 8.时序逻辑电路中一定包含( )。

A. 触发器

B. 组合逻辑电路

C. 移位寄存器

D. 存储器

9.如右图所示逻辑电路的逻辑关系是( )。

A. A B B A F +=

B. ))((B A B A F ++=

C. B A AB F +=

D.))((B A B A F ++= 10.))((C A B A F ++=,当B=0,且( )时,电路存在竞争-冒险。

A. 0=A

B. 1=A

C. 0=C

D.1=C

二、化简(10分,每小题5分)

1. 用公式法化简下列逻辑函数为F 积之和式。

C AB C B BC A AC F ++=+

2. 用卡若图法化简)15,11,7,5,3,1()13,9,6,4,0(),,,d m D C B A F ∑+∑=(为最简与或式。

图一

2

三、某门的两个输入变量A 、B 的状态波形如下图所示。?试画出与门输出变量Y 1的状态波形;或非门输出变量Y 2的状态波形;异或门输出变量Y 3的状态波形;B A Y +=4的输出波形。(12分)

四、设X 是两位二进制数,请设计一逻辑电路使其输出Y 满足12+=X Y 。(10分)

五、试分析图中电路的逻辑功能。写出输出Z 1,Z 2,Z 3的表达式。四选一数据选择器74LS153和3线 8线译码器74LS138的逻辑功能分别见下式。74LS318选通时,S 1 = 1 , S 2 = S 3 = 0。(15分)

S D A A D A A D A A D A A Y ?+++=)(301201101001

012701210120,,,A A A Y A A A Y A A A Y ===

六、(1)同步RS 触发器的CP 、R 、S 端状态波形如下左图所示。试画出Q 端的状态波形。设初始状态Q =0。

(2)主从T 触发器的T 、CP 端的电压波形如下右图所示,试画出Q 端的状态波形。设初始状态Q =0。 (10分)

七、试分析下列电路为几进制计数器。 (10分

)

八、设计一个能自动启动的3位环形计数器,它的有效循环状态为110→101→011→110。要求画出状态转换图、写出驱动方程、画出逻辑电路图。(13分)

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

电子科技大学期末数字电子技术考试题a卷-参考答案教学内容

电子科技大学二零零九至二零一零学年第 二 学期期 末 考试 数字逻辑设计及应用 课程考试题 A 卷(120分钟)考试形式:闭卷 考试日期2010年7月12日 课程成绩构成:平时 20 分, 期中 20 分, 实验 0 分, 期末 60 分 一、To fill your answers in the blanks (1’×25) 1. If [X]10= - 110, then [X]two's-complement =[ 10010010 ]2, [X]one's-complement =[ 10010001 ]2. (Assumed the number system is 8-bit long) 2. Performing the following number system conversions: A. [10101100]2=[ 000111010010 ]2421 B. [1625]10=[ 0100100101011000 ]excess-3 C. [ 1010011 ]GRAY =[ 10011000 ]8421BCD 3. If ∑=C B A F ,,)6,3,2,1(, then F D ∑=C B A ,,( 1,4,5,6 )=C B A ,,∏(0,2,3,7 ). 4. If the parameters of 74LS-series are defined as follows: V OL max = 0.5 V , V OH min = 2.7 V , V IL max = 0.8 V , V IH min = 2.0 V , then the low-state DC noise margin is 0.3V ,the high-state DC noise margin is 0.7V . 5. Assigning 0 to Low and 1 to High is called positive logic. A CMOS XOR gate in positive logic is called XNOR gate in negative logic. 6. A sequential circuit whose output depends on the state alone is called a Moore machine. 7. To design a "001010" serial sequence generator by shift registers, the shift register should need 4 bit as least. 8. If we use the simplest state assignment method for 130 sates, then we need at least

数字电子技术期末考试试卷

09级2011年数字电子技术考试试卷 开课学院:通信工程学院 一、填空题:(每空1分,共14分) 1、数制转换:,。 2、若A/D转换器(包括取样—保持电路)输入模拟电压信号的最高变化频率为10kHZ,则取样频率的下限为()。 3、正数的补码和它的()相同,负数的补码可通过将( )得到。 4、试列出3种输出端可以并联使用的门电路:()、()、()。 5、()和()是构成各种复杂数字系统的基本逻辑单元。 6、()和()是衡量A/D转换器和D/A转换器性能优劣的主要标志。 二、化简题:(每小题6分,共12分) (1)、用逻辑函数公式某法证明:

B’CD’+BC’D+ACD+A’BC’D’+A’B’CD+BC’D’+BCD=B’C+BC’+CD。 (2)、试用卡诺图法化简下式,要求画出卡诺图,并勾圈化简:。 三、由与非门构成的某表决电路如图1所示,其中ABCD表示4个人,L=1时表示决议通过。(共10分) (1)试分析电路,说明决议通过的情况有几种。 (2)分析ABCD四个人中,谁的权利最大。

图1 四、某逻辑函数的真值表如表1.2所示,试将74HC153扩展为8选1数据选择器,再实现该逻辑函数。74HC153的功能与逻辑符号分别见表1.1和图2。(共15分)

五、已知74LS138的逻辑符号见图3,逻辑功能见表2.试画出用两片74LS138组成4线-16线译码器的接线图,并说明设计原理。(共10分) 图3 表2、74LS138功能表 使能端选择输入端输出端 S1 A2 A1 A0 × 1 0 ×××× ××× 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 0 1 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

电子科大数字电路,期末试题0708-2半期考试

………密………封………线………以………内………答………题………无………效…… 电子科技大学二零零七至二零零八学年第二学期期中考试 “数字逻辑设计及应用”课程考试题 期中卷(120分钟)考试形式:闭卷 考试日期 2008年4月26日 课程成绩构成:平时 20 分, 期中 20 分, 实验 0 分, 期末60 分 1-1.与十进制数 (0. 4375 )10 等值的二进制数表达是 ( A ) A. ( 0.0111 ) 2 B. ( 0.1001 ) 2 C. ( 0.0101 ) 2 D. ( 0.01101 ) 2 1-2. 与十六进制数(FD .A )16等值的八进制数是( A )8 A. ( 375.5 )8 B. ( 375.6 )8 C. ( 275.5 )8 D. ( 365.5)8 1-3.与二进制数(11010011) 2 对应的格雷码表达是 ( C ) Gray A. ( 11111010 ) Gray B. (00111010 ) Gray C. ( 10111010 )Gray D. (11111011 ) Gray 1-4.下列数字中与(34.42)8 相同 的是( B ) A.(011010.100101)2 B.(1 C.88)16 C.(27.56)10 D.(54.28)5 1-5.已知[A]补=(10010011),下列表达式中正确的是( C ) A. [–A]反=(01101100) B. [A]反=(10010100) C. [-A]原=(01101101) D. [A]原=(00010011) 1-6.一个十六路数据选择器,其选择控制输入端的数量为( A ) A .4个 B. 6个 C. 8个 D. 3个 1-7.四个逻辑相邻的最小项合并,可以消去( B )个因子。 A. ( 1 ) B. ( 2 ) C. ( 3 ) D.( 4 ) 1-8.设A 补=(1001),B 补=(1110),C 补=(0010),在下列4种补码符号数的运算中,最不可能产生溢出的是 ( D ) A. [A-C]补 B. [B-C]补 C. [A+B]补 D. [B+C]补 1-9.能够实现“线与”的CMOS 门电路叫( D ) A. ( 与门 ) B. ( 或门 ) C. (集电极开路门) D. (漏极开路门) 1-10.CMOS 三输入或非门的实现需要( C )个晶体管。 A. ( 2 ) B. ( 4 ) C. ( 6 ) D. ( 8 ) 1-11.三态门的三个输出状态分别为:逻辑“1”、逻辑“0”和( C ) A. (短路) B. ( 5V ) C. (高阻) D. ( 0.3V ) 1-12.与()x y xz ''+等价的逻辑关系为( D ) A. XYZ B. XY ’+XZ ’ C. XY ’+X ’Z ’ D. XY ’Z

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术基础期末考试试卷及答案1[1]

填空题 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方 程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。二、选择题1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中, 输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。

A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为( D)。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有( C)个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式 Y= + C +A D,约束条件:A C + A CD+AB=0 四、分析下列电路。(每题6分,共12分) 1、写出如图1所示电路的真值表及最简逻辑表达式。

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

电子科技大学数字无线电课后习题答案

作业 1:FM 对讲机中接收机的设计 系统参数:射频频率: 433MHz
信号带宽:15KHz (频偏 7.5KHz ,音频带宽 3.4KHz ) 调制方式:FM 要求:1. 给出一个可实现的系统结构 2. 设计系统各级的主要参数(如滤波器、振荡器等) 3. 画出各级的频谱结构
答案: 1. 二次变频超外差式接收机系统:
f0 433MHz B 20MHz
f IF1
49.5MHz
f0 49.5MHz B 100KHz
fIF2 450KHz
f0 450KHz B 15KHz
fLO1 383.5MHz 或 482.5MHz
fLO2 48.05MHz 或 49.95MHz
2.
15KHz
433
f (MHz)
334 383.5 433 482.5 532 f (MHz)

47.5 48.05 49.5 49.95 50.4 f (MHz)
450
f (KHz)

1.根据下图所示的数字基带接收机电路和 A 点给定的信号频谱, 画出图中 B、C、D、E、F 点的信号频谱。
cos0t
e j0t
e 2
j0t
A
sin 0t
e
j0t
e 2j
j0t
cosot
LPF
LPF
-sinot
zBI(t)
zBI(n)
B
C zBQ(t)
A/D
D
DSP
E
A/D
zBQ(n)
fs=2.5Bs
zB(n)= zBI(n) +j zBQ(n)
F
A点信号频谱:
X(f)
1
Bs
0.5
f0 Bs f0
0
f0 f0 Bs f (Hz)
答案:
B点信号频谱: 经LPF滤除
2 f0
ZBI ( f ) 1 0.5
Bs 0 Bs
经LPF滤除
2 f0 f (Hz)
C点信号频谱:
j ZBQ ( f ) 1
0.5
Bs
0 Bs
f (Hz)

数字电子技术期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电路期末考试试卷及答案

2010-2011学年度第一学期 09级电子技术基础(数字部分)期末考试试卷 一、填空题(本大题共15小题,每空1分,总计30分) 1、 (127)10= ( )2= ( ) 8421BCD。 2、5个变量可构成个最小项,全体最小项之和为。 3、基本逻辑运算有、、 3种。 4、描述逻辑函数各个变量取值组合和函数值对应关系的表格叫。 5、3线—8线译码器74LS138处于译码状态时,当输入A2A1A0=011时,输出= 。 6、对于T触发器,当T= 时,触发器处于保持状态。 7、某计数器的输出波形如图1所示,该计数器是进制计数器。 CP Q 1 Q 2 Q (图1) 8、触发器有个稳定状态,用来寄存和。这种无外加触发信号时能维持原态不变的功能称功能。在外加触发信号作用下,触发器状态。 9、要完成二进制代码转换为十进制数,应选择的电路是:。 10、所谓计数器,是指能和输入脉冲个数的逻辑部件,它是利用触发器的功能来实现的。 11、对于JK触发器,若J=K,则可完成触发器的逻辑功能;若K= J,则可完成触发器的逻辑功能。 12、加法器是用来完成二进制数的加法运算的,它分为和。 13、用表示某些特定含义的代码就称为编码;而把的过程称为,它是编码的逆过程。 14、一个十进制加法计数器需要由个JK触发器组成。 15、3位二进制计数器累计脉冲个数为;4位二进制计数器累计脉冲个数为。 二、单项选择题(本大题共10小题,每小题2分,总计20分) 1、要将方波脉冲的周期扩展16倍,可采用:。 A、16进制计数器 B、十位二进制计数器 2、能实现串行数据变换成并行数据的是:。 A、编码器 B、译码器 C、移位寄存器 D、二进制计数器3、构成4位寄存器应选用个触发器。 A、2 B、4 C、6 D、8 4、对于由3个D触发器组成的单向移位寄存器,3位串行输入数码全部输入寄存器并全部串行输出,则所需要的移位脉冲的数量为。 A、12 B、6 C、3 D、2 5、具有“置0”、“置1”、“保持原状”、“状态翻新”,被称为全功能的触发器的是。 A、D触发器 B、T触发器 C、JK触发器 D、同步RS触发器 6、在触发脉冲作用下,每来一个触发脉冲,触发器的状态就翻转一次的触发器是:。 A、D触发器 B、T,触发器 C、JK触发器 D、同步RS触发器 7、对于基本RS触发器,若S=R=0,则。 A、Q=Q=0 B、Q=Q=1 C、Q=1,Q=0 D、Q=1,Q=0 8、存储8位二进制信息要个触发器。 A、2 B、4 C、6 D、8 9、对于一个共阳极型数码管,若译码器输出送数码管驱动的abcdefg=0000110,则显示的字符为。 A、2 B、3 C、E D、F 10、在下列逻辑电路中,不是组合逻辑电路的是:。 A、译码器 B、运算放大器 C、全加器 D、编码器 三、问答及作图题(本大题共4小题,每小题8分,共32分) 1、触发器的描述方法有哪些?请列举其中四种。 2、异步计数器在结构上有何特点?同步计数器在结构上有何特点? 3、两个D触发器构成的电路如图二所示,设初态Q0=Q1=1,试画出在5个脉冲作用下Q0、 Q、Q1 、 1 Q端的输出波形。 CP (图二) Q Q Q1 CP 1 Q

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字集成电路知识点整理

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统 第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计) 制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦之类的) NRE (Non-Recurrent Engineering) 成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing),封装(packaging),测试(test) 正比于产量 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 功耗:emmmm自己算 4、EDA设计流程 IP设计系统设计(SystemC)模块设计(verilog) 综合 版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys): 可以相互转化 .db(不可读).lib(可读) 加了功耗信息

数字电路期末试卷

2015-2016年第一学年度 汕尾市职业技术学校电子线路期末考试(开卷) 班级___________ 姓名__________ 分数__________ 一选择题(本大题共十道小题,每小题2分) 1、模拟电路中晶体管大多工作于( )。 A.放大状态 B.截止状态 C.击穿状态 D.饱和状态 2、当逻辑函数有n个变量时,共有( )个变量取值组合? A. n B. 2n C. n2 D. 2n 3、十进制数25用8421BCD码表示为( )。 A、10 101 B、0010 0101 C、100101 D、100101 4、下列逻辑式中,正确的逻辑公式是( )。 A.A+B=A B B. A+B=A B + C. A+B=AB D. A+= 00 5、二输入端的与非门,其输入端为A、B,输出端为Y,则其表达式Y= ()。 A、AB B、AB C、B A+D、A+B 6、逻辑式A+BC=( )。 A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 7、辑电路如图示,其逻辑式为( )。 A.F=A+BC B、F=A B C ?+ C、F=A B C ++D、F=A·BC

1 1& ≥1 1F A B C 8、一个T触发器,在T=0时,加上时钟脉冲,则触发器()。 A、保持原态 B、置0 C、置1 D、翻转 9、欲对全班43个学生以二进制代码编码表示,最少需要二进制码的位数是 ()。 A、5 B、6 C、8 D、43 10、下列电路中,不属于组合逻辑电路的是( ) A.译码器B.全加器 C.寄存器 D.编码器 二填空题(本大题共十小题每小题2分) 1、数字信号的特点是在上和上都是断续变化的,其高电平和低电平 常用和来表示。 2、OC门的输出端可并联使用,实现________功能;三态门可用来实现 ______________。 3、(35)10 =()2 = ( )8421BCD 4、基本逻辑运算有________、________、________3种。 5、在RS、JK、T和D触发器中,_____触发器的逻辑功能最多。 6、组合电路由________________构成,它的输出只取决于_ ________________ 而与原状态无关。

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

电子科大数字电路,期末试题101102半期考试试卷-答案

电子科技大学二零零九年至二零一零学年第二学期“数字逻辑设计及应用”课程考试题(半期)(120分钟)考试日期2011年4月23日 一二三四五六七八九十总分评卷教师 I. To fill the answers in the “( )” (2’ X 19=38) 1. [1776 ]8 = ( 3FE )16 = ( 1111111110 )2= ( 1000000001 ) Gray . 2. (365)10 = ( 001101100101 )8421BCD=( 001111001011 ) 2421 BCD. 3.Given an 12-bit binary number N. if the integer’s part is 9 bits and the fraction’s part is 3 bits ( N = a8 a7 a6 a5 a4 a3 a2 a1 a0 . a-1 a-2 a-3), then the maximum decimal number it can represent is ( 511.875 ); the smallest non-zero decimal number it can represent is ( 0.125 ). 4. If X’s signed-magnitude representation X SM is(110101)2, then it’s 8-bit two’s complement representation X2’s COMP is( 11101011 ) , and (–X)’s 8-bit complement representation (–X) 2’s COMP is ( 00010101 )2 . 5. If there are 2011 different states, we need at least ( 11 ) bits binary code to represent them. 6.If a positive logic function expression is F=AC’+B’C(D+E),then the negative logic function expression F = ( (A+C’)(B’+(C+DE)) ). 7. A particular Schmitt-trigger inverter has V ILmax = 0.7 V, V IHmin = 2.1 V, V T+= 1.7 V, and V T-= 1.3 V, V OLmax=0.3V, V OHmin=2.7V. Then the DC noise margin in the HIGH state is ( 0.6V ), the hysteresis is ( 0.4V ). 8.The unused CMOS NAND gate input in Fig. 1 should be tied to logic ( 1 ). Fig.1Circuit of problem I-8 9. If number [ A ] two’s-complement =11011001

山东大学数字电子技术期末试卷及答案.

试卷A 一、选择题(从每小题的四个备选答案中,选出一个正确答案,并将其号码填在括号内, 每小题2分,共20分) 1.将十进制数(18)10转换成八进制数是[ ] ①20 ②22 ③21 ④23 2. 三变量函数 ()BC A C B A F+ = , ,的最小项表示中不含下列哪项[ ] ①m2 ②m5 ③m3 ④m7 3.一片64k×8存储容量的只读存储器(ROM),有[ ] ①64条地址线和8条数据线②64条地址线和16条数据线 ③16条地址线和8条数据线④16条地址线和16条数据线 4.下列关于TTL与非门的输出电阻描述中,正确的是[ ] ①门开态时输出电阻比关态时大②两种状态都是无穷大输出电阻 ③门关态时输出电阻比开态时大④两种状态都没有输出电阻 5.以下各种ADC中,转换速度最慢的是[ ] ①并联比较型②逐次逼进型 ③双积分型④以上各型速度相同 6. 关于PAL器件与或阵列说法正确的是[ ] ①只有与阵列可编程②都是可编程的 ③只有或阵列可编程④都是不可编程的 7. 当三态门输出高阻状态时,输出电阻为[ ] ①无穷大②约100欧姆 ③无穷小④约10欧姆 8.通常DAC中的输出端运算放大器作用是[ ] ①倒相②放大 ③积分④求和 9. 16个触发器构成计数器,该计数器可能的最大计数模值是[ ] ①16 ②32 ③162④216 10.一个64选1的数据选择器有()个选择控制信号输入端。[ ] ① 6 ②16 ③32 ④64

二、填空题(把正确的内容填在题后的括号内。每空1分,共15分。) 1.已知一个四变量的逻辑函数的标准最小项表示为 ()()13,11,9,8,6,4,3,2,0,,,m d c b a F ∑=,那么用最小项标 准表示=* F ,以及=F ,使用最大项 标准表示=F ,以及 =F 。 2.具有典型实用意义的可编程逻辑器件包括 , , , 。 3.为了构成4K ×16bit 的RAM ,需要 块1K ×8bit 的RAM ,地址线的 高 位作为地址译码的输入,地址译码使用的是 译码器。 4.在AD 的量化中,最小量化单位为Δ,如果使用四舍五入法,最大量化误差为 Δ,如果使用舍去小数法,最大量化误差为 Δ。 5.如果用J-K 触发器来实现T 触发器功能,则T,J,K 三者关系为 ;如果要用J-K 触发器来实现D 触发器功能,则D,J,K 三者关系为 。 三、 简答题(每小题5分,共10分) 1.用基本公式和定理证明下列等式: ()ABC BC A C AB B C AB ++=+ 2.给出J-K 触发器的特征方程,状态转移真值表,状态转移图。 四、 分析题(25分) 1.8选1数据选择器CC4512的逻辑功能如表4.1所示。试写出图4.1所示电路输出端 F 的最简与或形式的表达式。(9分) 表4.1 CC4512功能表 2. 如图4.2电路由CMOS 传输门构成。试写出输出端的逻辑表达式。(8分)

相关文档
相关文档 最新文档