文档库 最新最全的文档下载
当前位置:文档库 › 集成电路设计基础复习

集成电路设计基础复习

集成电路设计基础复习
集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸

参考答案:

A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。

B、集成度是指在每个芯片中包含的元器件的数目。

C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。

2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE

参考答案:

IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction

3、试述集成电路的几种主要分类方法

参考答案:

集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。

4、试述“自顶向下”集成电路设计步骤。

参考答案:

“自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。

5、比较标准单元法和门阵列法的差异。

参考答案:

标准单元方法设计与门阵列法基本的不同点有:(1) 在门阵列法中逻辑图是转换成门阵列所具有的单元或宏单元,而标准单元法则转换成标准单元库中所具有的标准单元。(2) 门阵列设计时首先要选定某一种门复杂度的基片,因而门阵列的布局和布线是在最大的门数目、最大的压焊块数目、布线通道的间距都确定的前提下进行的。标准单元法则不同,它的

单元数、压焊块数取决于具体设计的要求,而且布线通道的间距是可变的,当市线发生困难时,通道间距可以随时加大,因而布局和布线是在一种不太受约束的条件下进行的。(3) 门阵列设计时只需要定制部分掩膜版,而标准单元设计后需要定制所有的各层掩膜版。

6、按规模划分,集成电路的发展已经历了哪几代?

参考答案:

按规模,集成电路的发展已经经历了:SSI、MSI、LSI、VLSI、ULSI及GSI。

7、试述集成电路制造中,导体、半导体和绝缘体各起什么作用。

参考答案:

导体:(1)构成低值电阻;(2)构成电容元件的极板;(3)构成电感元件的绕线;

(4)构成传输线(微带线和共面波导)的导体结构;(5)与轻掺杂半导体构成肖特

基结接触;(6)与重掺杂半导体构成半导体器件的电极的欧姆接触;(7)构成元器

件之间的互连;(8)构成与外界焊接用的焊盘。

半导体:(1)制作衬底材料;(2)构成MOS管的源漏区,集成电路中的基本元件就是依据半导体的特性构成。

绝缘体:(1)构成电容的介质;(2)构成MOS(金属-氧化物-半导体)器件的栅绝缘层;

(3)构成元件和互连线之间的横向隔离;(4)构成工艺层面之间的垂直向隔离;(5)构成防止表面机械损伤和化学污染的钝化层。

8、试述半导体特性及其应用。

参考答案:

半导体的电导率在10-22 S·cm-1~10-14 S·cm-1之间,导电性能介于导体与绝缘体之间,半导体的特点是其电导率随外界条件的变化而急剧变化。温度变化、光照,掺入杂质等都能显著改变半导体的导电性能。

半导体的广泛应用:热敏电阻(测温度和自动控制);光敏电阻(自动控制);晶体管;集成电路和超大规模集成电路等。

9、列举两种典型的金属与半导体接触。

参考答案:

一种是整流接触,即制成肖特基势垒二极管;另一种是非整流接触,即欧姆接触。10、解释欧姆型接触和肖特基型接触。

参考答案:

半导体表面制作了金属层后,根据金属的种类及半导体掺杂浓度的不同,可形成欧姆型接触或肖特基型接触。

如果掺杂浓度比较低,金属和半导体结合面形成肖特基型接触。

如果掺杂浓度足够高,金属和半导体结合面形成欧姆型接触。

11、试比较p-n结和肖特基结的主要异同点。

参考答案:

共同点:由载流子进行电流传导。

不同点:p-n结由少数载流子来进行电流传导;肖特基结的主要传导机制是半导体中多数载流子的热电子发射越过电势势垒而进入金属中。

12、试述PN结的空间电荷区是如何形成的。

参考答案:

在PN结中,由于N区中有大量的自由电子,由P区扩散到N区的空穴将逐渐与N区的自由电子复合。同样,由N区扩散到P区的自由电子也将逐渐与P区内的空穴复合。于是在紧靠接触面两边形成了数值相等、符号相反的一层很薄的空间电荷区,称为耗尽层。

13、MOS器件结构的对称性使其源漏区可以互换,双极型器件是否也具有同样的特点?若没有,请说明原因。

参考答案:

双极型器件的集电极与发射极不具有对称性,不能互换。虽然双极型器件原理图显示两个PN结是对称的,但实际制造时发射区的掺杂浓度远远高于集电区,而集电结的面积大于发射结的面积。

14、什么是MOS管的阈值电压。

参考答案:

引起沟道区产生强表面反型的最小栅电压,称为阈值电压V T。

15、讨论MOS器件源漏电流与其几何尺寸的关系。

参考答案:

根据本章给出的式(2.3)可知,MOS器件的栅长L减小,源漏电流增大;栅宽W减小,源漏电流减小。但同时减小L和W,理论上可保持源漏电流不变。

16、MOS管的跨导系数与哪些参数有关?

参考答案:

β是MOS 晶体管的跨导系数,β与工艺参数及器件的几何尺寸有关,其关系为:

)(L W

t ox μεβ=

17、试画出MOS 器件跨导与源漏电压的函数曲线。

参考答案:

18、根据式(2.3),试推导PMOS 器件在不同工作区域的理想表达式。

参考答案: 0 (a) 截止区

I ds = ()??????---22ds ds t gs V V V V β (b )线性区

()2

2t gs V V --β

(c )饱和区 18、集成电路主要有哪些基本制造工艺。

参考答案:

集成电路基本制造工艺包括:外延生长,掩模制造,光刻,刻蚀,掺杂,绝缘层形成,金属层形成等。

19、什么叫硅的热氧化?有哪几种热氧化技术?

参考答案:

硅的热氧化法是指硅与氧或水汽,在高温下经化学反应生成SiO 2。根据氧化剂的不同,热氧化可分为干氧氧化、水汽氧化和湿氧氧化。

20、试述晶体外延的意义,列出三种外延方法。

参数答案:

晶体外延的意义是:用同质材料形成具有不同掺杂种类及浓度,因而具有不同性质的晶体层。

晶体外延的方法主要有:气相外延生长、金属有机物气相外延生长、分子束外延生长。

21、解释:同质外延、异质外延。

参考答案:

外延生长时,当衬底与外延层为同种材料时称为同质外延,同质外延的目的是形成具有不同掺杂种类及浓度的晶体层,因而它可以具有不同性能。当两者材料相异时称异质外延,异质外延用来形成各种异质结构的器件,如异质结晶体管(HBT)。

22、掩模在IC制造过程中有什么作用?

参考答案:

任何半导体器件及IC都是一系列相联系的基本单元的组合,如导体、半导体及在基片不同层上形成的不同尺寸的隔离材料等。要制作出这些结构需要一套掩模。因此掩模是IC 制造过程中必须要经过的一个重要环节。

23、比较整版掩模和单片掩模的区别,并列举三种掩模的制造方法。

参考答案:

整版按统一的放大率印制,因此称为1X掩模。这种掩模在一次曝光中,对应着一个芯片阵列的所有电路的图形都被映射到基片的光刻胶上。

单片版通常把实际电路放大5或10倍,故称作5X或10X掩模。这样的掩模上的图案仅对应着基片上芯片阵列中的一个单元。上面的图案可通过步进曝光机映射到整个基片上。

掩模的制造方法:a、图案发生器法;b、x射线制版;c、电子束描述法。

24、光刻的作用是什么?列举两种常用曝光方式。

参考答案:

光刻是集成电路加工过程中的重要工序,作用是把掩模版上的图形转换成晶圆上的器件结构。

曝光方式:接触式和非接触式

25、简述光刻工艺步骤。

参考答案:

涂光刻胶,曝光,显影,腐蚀,去光刻胶。

26、光刻胶正胶和负胶的区别是什么?

参考答案:

正性光刻胶受光或紫外线照射后感光的部分发生光分解反应,可溶于显影液,未感光的部分显影后仍然留在晶圆的表面,它一般适合做长条形状;负性光刻胶的未感光部分溶于显影液中,而感光部分显影后仍然留在基片表面,它一般适合做窗口结构,如接触孔、焊盘等。

27、试述曝光时间对设计的图形的影响。

参考答案:

曝光时间对设计图形的影响主要是:若曝光时间较长,对于正性光刻胶则得到的图形实际尺寸比预先设计的可能要小;对于负性光刻胶情况正相反。

28、掺杂的目的是什么?举出两种掺杂方法并比较其优缺点。

参考答案:

掺杂的目的是形成特定导电能力的材料区域,包括N型或P型半导体区域和绝缘层,以构成各种器件结构。

掺杂的方法有:热扩散法掺杂和离子注入法掺杂。与热扩散法相比,离子注入法掺杂的优点是:可精确控制杂质分布,掺杂纯度高、均匀性好,容易实现化合物半导体的掺杂等;缺点是:杂质离子对半导体晶格有损伤,这些损伤在某些场合完全消除是无法实现的;很浅的和很深的注入分布都难以得到;对高剂量的注入,离子注入的产率要受到限制;一般离子注入的设备相当昂贵,

29、IC制造中常采用什么方法形成金属层?它的作用是什么?

参考答案:

金属层的形成主要采用物理汽相沉积(Pysical Vapor Deposition,简称PVD)技术。在半导体工艺发展过程中,主要的PVD技术有蒸镀和溅镀两种。

金属层的作用有:(1)形成器件本身的接触线;(2)形成器件间的互连线;(3)形成焊盘。

30、列举两种集成电路制造中的器件隔离结构,并比较其优缺点。

参考答案:

两种最常用的隔离结构:局部氧化隔离法隔离(LOCOS)和浅沟槽隔离(STI)。

局部氧化隔离法会产生“鸟嘴”效应,影响器件的性能;浅沟槽隔离法能有效地减小“鸟嘴”效应。

31、试述“鸟嘴”效应是如何产生的?它对MOS器件有什么影响?

参考答案:

通常,IC器件之间通过氧化去来隔离的,在局部氧化隔离工艺中,由于氧化过程中的渗透作用,造成了氧化区具有“鸟嘴形”。这种形状造成了有源区的变化,器件的宽度不再是版图上所画的。这就是所谓的“鸟嘴”效应。当器件尺寸缩小后,它将影响MOS器件的开启电压。

32、简述CMOS工艺的基本工艺流程(以1×poly,2×metal N阱为例)。

参考答案:

形成N阱区,确定nMOS和pMOS有源区,场和栅氧化,形成多晶硅并刻蚀成图案,P+扩散,N+扩散,刻蚀接触孔,沉淀第一金属层并刻蚀成图案,沉淀第二金属层并刻蚀成图案,形成钝化玻璃并刻蚀焊盘。

33、上题所述N阱CMOS工艺需要哪几层掩模?每层掩模分别有什么作用?

参考答案:

需要十层掩模,每层掩模及其作用如下:

Mask1:形成n阱区

Mask2:确定NMOS和PMOS有源区

Mask3:场和栅氧化

Mask4:形成多晶硅并刻蚀成图案

Mask5:P+扩散

Mask6:N+扩散

Mask7:刻蚀接触孔

Mask8:沉积第一层金属并刻蚀成图案

Mask9:沉积第二金属并刻蚀成图案

Mask10:形成钝化层并刻蚀焊盘

34、为什么在相同工艺条件和相同几何尺寸下NMOS管速度要高于PMOS管?如果相同栅长的N管和P管要达到相同的速度,理论上N管和P管要满足什么条件?

参考答案:

因为NMOS管的导电沟道是由带负电的电子累积而成,而PMOS管的导电沟道是由带正电的空穴累积而成,由于电子的迁移率大约是空穴迁移率的2.5倍,因此NMOS管速度要高于PMOS管。

如果相同栅长的N管和P管要达到相同的速度,从理论上讲,PMOS管的栅宽应是NMOS 管的2.5倍。

35、双极、CMO和BiCMOS集成电路器件各有何特点。

参考答案:

双极器件具有速度高、驱动能力强和低噪声等特性,但功耗大而且集成度低。CMOS器件具有低功耗、集成度高和抗干扰能力强等优点,但它的速度较低、驱动能力差,在具有高速要求的环境下难以适应。所以结合了双极与CMOS工艺技术的BiCMOS工艺技术应运而生。BiCMOS工艺技术是将双极与CMOS器件制作在同一芯片上,这样就结合了双极器件的高跨导、强驱动和CMOS器件高集成度、低功耗的优点,使它们互相取长补短、发挥各自优点,从而实现高速、高集成度、高性能的超大规模集成电路。

36、常规双极型工艺需要几次光刻?每次光刻分别有什么作用?

参考答案:

需要六次光刻。第一次光刻--N+隐埋层扩散孔光刻;第二次光刻--P+隔离扩散孔光刻第三次光刻--P型基区扩散孔光刻;第四次光刻--N+发射区扩散孔光刻;第五次光刻--引线接触孔光刻;第六次光刻--金属化内连线光刻

37、BiCMOS工艺技术常分为哪两类?它们各有什么特点?

参考答案:

BiCMOS工艺技术大致可以分为两类:分别是以CMOS工艺为基础的BiCMOS工艺和以双极工艺为基础的BiCMOS工艺。一般来说,以CMOS工艺为基础的BiCMOS工艺对保证CMOS 器件的性能比较有利,同样以双极工艺为基础的BiCMOS工艺对提高保证双极器件的性能有利。影响BiCMOS器件性能的主要部分是双极部分,因此以双极工艺为基础的BiCMOS工艺用

数字集成电路设计_笔记归纳..

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH G S V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=) ,即载流子迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<),c s a t μξυυ==(c ξξ≥) ,出现饱和速度时的漏源电压D SAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

计算机基础公开课教案

计算机应用基础公开课教案 授课人:袁涛授课对象:机电工程系2011级学生 时间:2011年12月8日星期四上午一、二节 课题:excel中数据的基本处理 一、教学目标: (一)知识与技能 1、掌握一些常见函数的使用方法 2、会对一组数据排序、筛选 (二)过程与方法 1、锻炼学生恰当、自如地使用函数的能力; 2、培养学生收集、分析、处理数据的能力; 3、培养自主探索,合作交流能力。 (三)情感态度价值观 这课堂,通过情境的创设,使学生明确探究目标,给学生思维以方向,同时产生强烈的探究兴趣和欲望,给思维以动力。通过利用EXCEL工具软件制作出数据图表,提升学生对使用计算机软件的热情。 二、教学重点: 1、基本函数的使用方法 2、自动筛选和高级筛选 三、教学难点: 1、用公式进行计算 2、高级筛选

四、教学方法 讲授法、演示法、练习法 五、教学过程: (一)复习导入 前面我们学习了工作簿、工作标的基本操作和数据的格式化,然而在我们学习和工作中知道这些是远远不够的,那么我们接下来一些常见函数的使用和如何对一组数据进行简单的处理。 (二)实例引课 实例: 1、基本函数的使用 (1)讲述Sum函数的功能和使用方法,演示使用sum函数求和(附带讲述自动求和); (2)讲述Average函数的功能和使用方,演示使用average函数求平均值; (3)讲述Max函数的功能和使用方法,演示使用max函数求最高分;(4)讲述Min函数的功能和使用方法,演示使用min函数求最低分。

2、如何用公式对数据进行相应的计算 3、数据的排序和筛选 (1)排序 功能:按要求对一组数据进行排序 操作步骤:选定将要排序的数据区域→数据菜单→选择关键字和排序方式 演示:对实例进行排序操作 (2)数据的筛选 功能:按要求把符合条件的数据筛选出来 自动筛选:选定所要筛选的数据→数据菜单→筛选→自动筛选→筛选项目→筛选条件 演示对实例进行自动筛选 高级筛选:数据菜单→筛选→高级筛选→筛选方式→列表区域(所要筛选的数据区域)→条件区域→筛选结果所放区域 演示对实例进行高级筛选 (三)学生练习 结合上节课和本节课的内容,按要求对下列数据进行处理

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

数字电路教案-课题二 寄存器(2课时)

理论课授课教案

教学过程和内容 时间分配 与教法1.由D触发器构成的数码寄存器 (1)电路组成 图5-1 单拍工作方式的数码寄存器 CP:接收脉冲(控制信号输入端) (2)工作原理 当CP↑时,触发器更新状态,Q3Q2Q1Q0=D3D2D1D0,即接收输入 数码并保存。 单拍工作方式:不需清除原有数据,只要CP↑一到达,新的数据就会存 入。 常用4D型触发器74LS175、6D型触发器74LS174、8D型触发器74LS374 或MSI器件等实现。 2.由D型锁存器构成的数码寄存器 (1)锁存器的工作原理 送数脉冲CP为锁存控制信号输入端,即使能信号(电平信号)。 ②当CP=1时,D数据输入不影响电路的状态,电路锁定原来的数据。 即当使能信号结束后(锁存),数据被锁住,输出状态保持不变。 二、移位寄存器 移位寄存器除了具有存储数码的功能外,还具有移位功能。 移位功能:寄存器中所存数据,可以在移位脉冲作用下逐位左移或右移。 在数字电路系统中,由于运算(如二进制的乘除法)的需要,常常要求 实现移位功能。

1.单向移位寄存器:是指仅具有左移功能或右移功能的移位寄存器。 教学过程和内容 时间分配 与教法1)右移位寄存器 ①电路组成 图5-4 4位右移位寄存器 串行输入 同步时序逻辑电路 ②工作过程(仿真运行图5-4电路。) 将数码1101右移串行输入给寄存器(串行输入是指逐位依次输入)。 在接收数码前,从输入端输入一个负脉冲把各触发器置为0状态(称为清零)。 ④时序图 CP顺序输入D SR输出 0 1 0 0 0 0 1 1 1 0 0 0 2 0 1 1 0 0 3 1 0 1 1 0 4 0 1 0 1 1 5 0 0 1 0 1 6 0 0 0 1 0 7 0 0 0 0 1 8 0 0 0 0 0

计算机基础公开课教案(完整资料).doc

此文档下载后即可编辑 计算机应用基础公开课教案 授课人:袁涛授课对象:机电工程系2011级学生 时间:2011年12月8日星期四上午一、二节 课题:excel中数据的基本处理 一、教学目标: (一)知识与技能 1、掌握一些常见函数的使用方法 2、会对一组数据排序、筛选 (二)过程与方法 1、锻炼学生恰当、自如地使用函数的能力; 2、培养学生收集、分析、处理数据的能力; 3、培养自主探索,合作交流能力。 (三)情感态度价值观 这课堂,通过情境的创设,使学生明确探究目标,给学生思维以方向,同时产生强烈的探究兴趣和欲望,给思维以动力。通过利用EXCEL工具软件制作出数据图表,提升学生对使用计算机软件的热情。 二、教学重点: 1、基本函数的使用方法 2、自动筛选和高级筛选 三、教学难点: 1、用公式进行计算 2、高级筛选 四、教学方法 讲授法、演示法、练习法 五、教学过程: (一)复习导入

前面我们学习了工作簿、工作标的基本操作和数据的格式化,然而在我们学习和工作中知道这些是远远不够的,那么我们接下来一些常见函数的使用和如何对一组数据进行简单的处理。(二)实例引课 实例: 1、基本函数的使用 (1)讲述Sum函数的功能和使用方法,演示使用sum函数求和(附带讲述自动求和); (2)讲述Average函数的功能和使用方,演示使用average函数求平均值; (3)讲述Max函数的功能和使用方法,演示使用max函数求最

高分; (4)讲述Min函数的功能和使用方法,演示使用min函数求最低分。 2、如何用公式对数据进行相应的计算 3、数据的排序和筛选 (1)排序 功能:按要求对一组数据进行排序 操作步骤:选定将要排序的数据区域→数据菜单→选择关键字和排序方式 演示:对实例进行排序操作 (2)数据的筛选 功能:按要求把符合条件的数据筛选出来 自动筛选:选定所要筛选的数据→数据菜单→筛选→自动筛选→筛选项目→筛选条件 演示对实例进行自动筛选 高级筛选:数据菜单→筛选→高级筛选→筛选方式→列表区域(所要筛选的数据区域)→条件区域→筛选结果所放区域演示对实例进行高级筛选 (三)学生练习 结合上节课和本节课的内容,按要求对下列数据进行处理

数字集成电路知识点整理

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统 第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计) 制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦之类的) NRE (Non-Recurrent Engineering) 成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing),封装(packaging),测试(test) 正比于产量 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 功耗:emmmm自己算 4、EDA设计流程 IP设计系统设计(SystemC)模块设计(verilog) 综合 版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys): 可以相互转化 .db(不可读).lib(可读) 加了功耗信息

《数字电子技术基础》_阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

数字电路教案

皖西学院教案 学年第学期 课程名称数字电子技术 授课专业班级电气 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明

单元教案

分教案

从集成度不同 数字集成电路可分为小规模、中规模、大规模、超大规模和甚大规模五类。 、数字集成电路的特点 )电路简单,便于大规模集成,批量生产 )可靠性、稳定性和精度高,抗干扰能力强 )体积小,通用性好,成本低. )具可编程性,可实现硬件设计软件化 )高速度低功耗 )加密性好 、数字电路的分析、设计与测试 ()数字电路的分析方法 数字电路的分析:根据电路确定电路输出与输入之间的逻辑关系。 分析工具:逻辑代数。 电路逻辑功能主要用真值表、功能表、逻辑表达式和波形图。 () 数字电路的设计方法 数字电路的设计:从给定的逻辑功能要求出发,选择适当的逻辑器件,设计出符合要求的逻辑电路。 设计方式:分为传统的设计方式和基于软件的设计方式。 模拟信号与数字信号 . 模拟信号 时间和数值均连续变化的电信号,如 正弦波、三角波等 、数字信号 在时间上和数值上均是离散、幅值只有和两种状态的信号。 数字电路和模拟电路:工作信号,研究的对象不同,分析、设计方法以及所用的数学工具也相应不同

教学内容纲要备注、模拟信号的数字表示 由于数字信号便于存储、分析和传输,通常都将模拟信号转换为数字信 号. →模数转换。 数字信号的描述方法 、二值数字逻辑和逻辑电平 二值数字逻辑:、数码表示数量时称二进制数,表示事物状态时称二值逻 辑。 表示方式:、在电路中用低、高电平表示、两种逻辑状态 、数字波形 数字波形是信号逻辑电平对时间的图形表示。 比特率每秒钟转输数据的位数 ()数字波形的两种类型:归零型和非归零型 ()周期性和非周期性 ()实际脉冲波形及主要参数 ()时序图表明各个数字信号时序关系的多重波形图。 课后作业

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

计算机基础公开课教案

计算机基础公开课教案 章节名称:第一章 Windows XP基础 教学目标 1、知识目标 1)了解操作系统的概念、基本功能及类型。 2)了解Windows XP桌面的组成元素和“开始”菜单。 2、技能目标 1)认识Windows XP的桌面及程序窗口。 2)掌握任务栏的使用方法。 3)掌握[开始]菜单属性的设置。 3、情感目标 激发学生学习Windows XP的热情。 教学重点 1、Windows XP桌面和程序窗口的组成。 2、Windows任务栏的基本操作。 教学难点 任务栏菜单属性的设置。 教学方法 1、教法: 直观演示、任务驱动 2、学法: 分组法、游戏法、实践操作 教学手段 采用课件演示、投影演示、多媒体电子教室同步演示。 素材准备 自制课件、拼图FLASH资源、课堂操作题。 教学过程 一、新课导入 对前两章内容的复习 计硬件系统 算 机 系系统软件 统软件系统 应用软件 问题:在软件系统中,最重要且最基本的是什么? 什么是操作系统?它有什么作用? 二、新课展开 1、引入操作系统、操作系统概念、操作系统作用(由学生分组讨论回答) 1)什么是操作系统

操作系统(Operating System,简称OS)是一管理电脑硬件与软件资源的程序,同时也是计算机系统的内核与基石。 这里所谓的“资源”当然不是指自然资源,而是指计算机系统内可利用的各种能力。比如计算机运行程序的能力,存储能力,打印机的打印能力等,可以说计算机系统各种资源能够相互协调,有效地进行工作,都依赖于操作系统的统一控制,因此,一台电脑只有安装了操作系统,才能进入最基本的工作状态。用户通过操作系统来操纵计算机,可以省去很多具体细节,从而获得良好的应用环境。 2)操作系统的基本功能 CPU管理、存储管理、设备管理、文件管理、用户接口 3)介绍操作系统的种类 2、观看Windows发展视频 教师问:同学们经常使用的操作系统有哪些? 3 4 教师:讨论完作用,我们就来具体操作一下 教学说明: 任务1、3需未锁定任务栏。(可在学生尝试失败后再提出) 任务2 小结时要突出介绍“命令选项的特殊标记√”的作用。 任务4 小结时可讨论隐藏的作用或演示任务栏属性对话框中“分组相似任务栏按钮”的作用。

《数字电子技术课程设计》教学大纲

教学大纲 课程名称数字电子技术课程设计课程负责人 开课系部机电工程系 教研室电气自动化 二0一四年四月一日

《数字电子技术课程设计》教学大纲 一、课程基本信息 课程编号: 课程名称:数字电子技术课程设计 英文名称:A Course Design on Digital Electronic Technology 适用专业:电气工程及其自动化类专业 先修课程:电路原理、模拟电子技术、数字电子技术 课程性质:专业基础课 设计周数:1周 学分:1分 二、课程设计的性质、目的和任务 数字电子技术课程设计是电路分析、模拟电子技术、数字电子技术等课程之后的一门理论与实践相结合的综合设计性课程,目的在于提高和增强学生对电子技术知识的综合分析与应用能力。这对于提高学生的电子工程素质和科学实验能力非常重要,是电子技术人才培养成长的必由之路。数字电子技术课程设计应达到以下目的: (1)加深对所学理论知识的理解,并能将其熟练应用,做到理论与实际相结合; (2)学会查寻资料、方案比较,以及设计计算及制作调试等环节,进一步提高分析解决实际问题的能力; (3)要求学生根据技术指标进行理论设计,并制作调试完成,培养学生分析问题、解决问题的实践能力。 对本次课程设计,原则上指导老师只给出大致的设计要求,在设计思路上不框定和约束同学们的思维,所以同学们可以发挥自己的创造性,并力求设计方案凝练可行、思路独特、效果良好。 三、课程设计的内容 以《电路分析》、《模拟电子技术》和《数字电子技术》等课程中所涉及到的电阻、电容、电感元件、无源滤波电路、变压器、二极管、三极管、场效应管及

基本放大电路、功率放大电路、集成运算放大电路、信号发生器、直流电源、门电路及触发器、小规模集成电路SSI、中规模集成电路MSI为基础,两人一组分工协作、独立设计具有可靠性高及功能明确的实际应用价值的电子电路,最后编写课程设计总结报告。设计内容可参考设计题目,也可根据自身情况自己拟定。 参考题目如下: 1.数字电子钟逻辑电路设计:设计一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间;(如准点报时、定时闹钟等)2.智力竞赛抢答器逻辑电路设计:设计一个可供四组参赛的数字式竞赛抢答器,每组设置一个抢答按钮,要求具有第一抢答信号的鉴别和锁存功能,具有计分及计时功能,设置犯规报警电路。(电路具有鉴别和锁存功能,用数码管显示第一抢答组别且该组别对应指示灯亮,电路的自锁功能,使其余抢答开关不起作用;有主持人开关、有复位功能;增加部分扩展功能(如抢答计时及加分、减分电路等) 3.交通信号灯控制器逻辑电路设计:满足绿灯30秒,黄灯5秒,红灯35秒的时序。采用两位数码显示器显示南北方向时间。 4.汽车尾灯控制电路设计:转向侧的3灯应按全灭、1灯亮、2灯亮、3灯亮得顺序动作,周期性明亮与暗,一周约需一秒;当紧急闪烁起作用时,六个尾灯大约以1Hz的频率一致地闪烁着亮与暗;制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮。 5.数字温度计逻辑电路设计:设计一个可以测量温度范围0-800C的数字式温度计,精度± 10C。 6.多路防盗报警电路设计:采用多路输入、同一报警输出方式实现,输入端带延时触发功能,具有显示报警地点功能。 7.电梯控制电路设计:设计一个简易4层电梯控制电路,能记忆电梯内、外的所有请求信号,并按照电梯运行规则按顺序响应,每个信号保留至执行后消失。 8.倒计时计时器的设计:最长记时时间为999秒,有三位数码管显示记数状态。 9.洗衣机控制电路设计: 设计一个洗衣机控制器,具有如下功能:

IC设计基础笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目)

数字电路教案汇总

数字电路教案汇总

皖西学院教案2014 - 2015 学年第2学期 课程名称数字电子技术 授课专业班级电气1302-02 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明 课程类别总学分 3.5 总学时56 本学期学时教学周次周学时学时分配 56 14 4 讲授实验上机考查其他56 教学目的要求 在元器件学习的基础上,掌握数字电路的基础和逻辑门电路的基础知识;重点掌握组合逻辑电路和时序逻辑电路的分析和设计方法,尤其是中规模集成的分析和设计方法;掌握D/A和A/D转换以及脉冲波形的产生和整形电路;了解半导体存储器的基本概念和基本知识。 教学重点难点重点掌握逻辑门电路的基础知识,组合逻辑电路和时序逻辑电路的分析和设计方法,尤其是中规模集成的分析和设计方法。此部分内容也是该门课程的教学难点。 选用教材 电子技术基础(数字部分)康华光等(第五版),北京:高等教育出版社

主要参考资料1.清华大学电子学教研组,阎石主编,数字电子技术基础,第四版,北京,高等教育出版社,1998。 2.李士雄,丁康源主编,数字集成电子技术教程,北京:高等教育出版社,1993。 3.曹汉房,陈耀奎编著,数字技术教程,北京:电子工业出版社,1995。4.扬晖,张风言编著,大规模可编程逻辑器件与数字系统设计,北京:北京航空航天大学出版社,1998。 备注 单元教案 知识单元 主题 数字逻辑基础学时 教学内容(摘要)1.1 数字电路与数字信号 1.2 数制 1.3 二进制数的算术运算 1.4 二进制代码 1.5 二值逻辑变量与基本逻辑运算1.6 逻辑函数及其表示方法

集成电路设计基础复习要点

集成电路设计基础复习要点 第一章集成电路设计概述 1、哪一年在哪儿发明了晶体管?发明人哪一年获得了诺贝尔奖? 2、世界上第一片集成电路是哪一年在哪儿制造出来的?发明人哪一 年为此获得诺贝尔奖? 3、什么是晶圆?晶圆的材料是什么? 4、晶圆的度量单位是什么?当前主流晶圆尺寸是多少?目前最大晶 圆尺寸是多少? 5、摩尔是哪个公司的创始人?什么是摩尔定律? 6、什么是SoC?英文全拼是什么? 7、说出Foundry、Fabless和Chipless的中文含义。 8、什么是集成电路的一体化(IDM)实现模式? 9、什么是集成电路的无生产线(Fabless)设计模式? 10、目前集成电路技术发展的一个重要特征是什么? 11、一个工艺设计文件(PDK)包含哪些内容? 12、什么叫“流片”? 13、什么叫多项目晶圆(MPW) ?MPW英文全拼是什么? 14、集成电路设计需要哪些知识范围? 15、著名的集成电路分析程序是什么?有哪些著名公司开发了集成电 路设计工具?

16、SSI、MSI、LSI、VLSI、ULDI的中文含义是什么?英文全拼是 什么?每个对应产品芯片上大约有多少晶体管数目? 17、国内近几年成立的集成电路代工厂家或转向为代工的厂家主要有 哪些? 18、境外主要代工厂家和主导工艺有哪些? 第二章集成电路材料、结构与理论 1、电子系统特别是微电子系统应用的材料有哪些? 2、常用的半导体材料有哪些? 3、半导体材料得到广泛应用的原因是什么? 4、为什么市场上90%的IC产品都是基于Si工艺的? 5、砷化镓(GaAs) 和其它III/V族化合物器件的主要特点是什么? 6、GaAs晶体管最高工作频率f T可达多少?最快的Si晶体管能达到多 少? 7、GaAs集成电路主要有几种有源器件? 8、为什么说InP适合做发光器件和OEIC? 9、IC系统中常用的几种绝缘材料是什么? 10、什么是欧姆接触和肖特基接触? 11、多晶硅有什么特点? 12、什么是材料系统?

集成电路设计基础复习

1. 在P 衬底硅片上设计的PMOS 管可以分为n+层、SiO 2层、多晶硅层、金属层和N 井层。 2. 在集成电路设计中,制造厂商所给的工艺中有R □为它成为(方块电阻)。 3. MOS 管元件参数中的C ox 是栅极单位面积所具有的(电容值)。 4. 对于NMOS 而言,工作在饱和区中,其漏电流I D 等于(21()2D P ox GS TH W I C V V L μ= -),不能使用β或K 来表示。 5. 对于PMOS 而言,工作在饱和区中,其漏电流I D 等于 (21(||)2D P ox SG TH W I C V V L μ=--),不能使用β或K 来表示。 6. 对于工作在饱和区的NMOS 而言,其g m 等于(2D m GS TH I g V V =-),只能有I D 和过 驱动电压表示。 7. 对于工作在饱和区的NMOS 而言,其g m 等于(m g =),只能有I D 、W 、L 以及工艺参数表示。 8. 根据MOS 管特征曲线划分的四个工作区域,可以作为MOS 电阻的区域为(深度三极管区)。 9. 根据MOS 管特征曲线划分的四个工作区域中,可以作为电流源的区域为(饱和区)。 10. 对于NMOS 而言,导电沟道形成,但没有产生夹断的外部条件为(V DS 小于V GS -V TH )。 11. 差动信号的优点,能(有效抑制共模噪声),增大输出电压摆幅,偏置电路更简单和输出线性度更高。 12. 分析MOS 共栅放大电路,其电流增益约等于(1)。 13. 差动信号的优点,能有效抑制共模噪声,增大输出电压摆幅,偏置电路更简单和(输出线性度更高)。 14. 共源共栅电流镜如下图所示,当V X 电压源由大变小的过程中,M2和M3管,(M3)先退出饱和区。

《计算机应用基础》公开课教案

《计算机应用基础》公开课教案 时间:20XX年3月12日上午第一节课 班级:高职二班 地点:网络中心 主讲教师:徐剑 教学课题:Excel工作表中的数据筛选 教学课型:新授课 教学目标: 1. 知识目标:掌握数据的筛选方法(自动筛选及高级筛选),并能应用于实际工作中 2. 能力目标:培养学生的观察能力和自主学习能力 教学重点:如何对数据进行筛选 教学难点:如何用高级筛选的方法对数据进行筛选 教学方法:演示法、实验法、任务驱动法 实验及教具:实例、多媒体 教学课时:第一学时(总共2学时) 教学过程: 通过完成四个具体任务,来达到对两种数据筛选方法的掌握。 一、展示任务,查看数据表(2分钟) 1、请找出计算机成绩表中的文秘专业考试成绩最高的女同学 2、请在计算机成绩表中找出财会专业或计算机专业姓李的同学 3、请在学生信息表(1)中找出家住水口的电子专业的同学信息,结果存放在以H2为左上角单元格的区域 4、请在学生信息表(2)中找出性别为男或年龄大于18岁的同学,在原有区域显示结果 1. 任务1:请找出计算机成绩表中的文秘专业考试成绩最高的女同学(4分钟) 操作步骤: 1. 单击数据区域任何一个单元格 单击“数据”菜单“筛选”命令的“自动筛选”项,数据表的每个字段名旁 边出现下拉按钮“▼”,单击“专业”字段的下拉按钮“▼”,在出现的下 拉列表中选择“文秘”,单击“性别”字段的下拉按钮“▼”,在出现的下 拉列表中选择“女” 很明显筛选后所显示的记录远远少于先前的记录数,可以直接在这些记录中 找到最高分的同学,也可以再进行一次排序,直接看到文秘专业最高分的女 同学 2. 学生操作,完成第一个任务,教师检查完成情况。(2分钟)

集成电路设计基础——发展史

集成电路设计系列第2章集成电路发展史

本章概要 2.1 集成电路的发明 2.2 微处理器的发展 2.3 摩尔定律 2 2.4 今天的IC

年德国科学家Ferdinand 1874年,德国科学家Ferdinand Braun 发现在一定的条件下,晶体能够单向传导电流并将这种现象能够单向传导电流,并将这种现象称为“整流(rectification )。 年意大利人G i l M i 3 1895年,意大利人Gugielmo Marconi 发明了利用电波传输信号的新技术,成为无线通信的开端晶体探测器首成为无线通信的开端。晶体探测器首次被用于无线电接收机中,用于从载波中提取有用信号称之为“检波”波中提取有用信号,称之为检波。

1904年,英国科学家John Ambrose Fleming,发明了第一只电子管,被称为 Fleming Valve。 “Fleming Valve” 4 这只电子管只有阴极和阳极两个电极。他通过研究 ,将个有用信号调制到从阴极到阳极的 Edison Effect,将一个有用信号调制到从阴极到阳极的 直流电流之上。

5 1906年,美国科学家Lee de Forest 给电子管加一个电极(称为栅极), 从而使电子管具有了放大的能力, 可以视作为晶体管的前身。

机械计算装置 英国剑桥大学教授 Charles Babbage于1932 Ch l B bb 年设想,1934年开发 被称为差动引擎 (Difference Engines) 采用十进制 6 可完成加、减、乘、除 有25000个机械部件,总 成本17470英镑

集成电路设计基础

集成电路设计基础复习提纲 一EDA常用命令 ls 显示当前目录下的文件和路径。Pwd显示当前文件的绝对路径.。Cd进入指定目录。More显示文件内容。Cp拷贝。Mkdir创建目录。tar 打包。zip压缩。unzip解压。ftp传送文件。 二基本概念 1版图设计 CIW命令解释窗口, Library 库,Reference Library相关库, Library Path库路径,Cell单元,View视图,Techfiler.tf工艺文件, cds.lib库管理文件, techfile.cds ASCII 文件,LSW图层选择窗口,display.drf图层显示文件。LayerPurpose Pair层次用途配对,Cellview Attributes and Properties单元视图属性,Instance单元,Snap Mode 光标按钮画线条或图形的模型。Stream。数据流(一个标准数据格式用在cad系统间传递物理设计数据) parameterized cells,参数化单元。Flatten,打平 设计方法 1 CIC设计流程 ①设计规划。②建库。③原理图输入。④电路仿真。⑤单元模块版图。⑥TOP 版图。⑦验证。⑧输出GDSII。⑨制掩膜。⑩流片封装测试。 2CIC建库的步骤,工艺文件和显示文件的使用。 建库进入设计项目所在的文件夹,打开名利窗口输入icfb,在ciw菜单栏中选择file-creat-creat new library,选择要连接的Techfiler.tf或者选择相应库作为链接库,后根据指示完成余下的操作 工艺文件p1-40说明图层连接,等效连接,不可被重叠,自动布线,设计规则等情况 ciw-technology-file-dump ,design,layout definations,ascll 命名.Tf,ok;/techpurposes /techlayers;/techdisplays;/techlayerpurposepriorities(图层目的优先);:q!(保存退出):wq!(写后保存退出);/ptap File-load 显示文件的使用:在显示资源编辑窗口里编辑并保存(display。drf)长期有效 添加新包,先编辑显示文件再在显示资源编辑窗口里编辑其填充等;file—save;tools-display resources-mergefile;分配图层目的配对。 3单元版图绘图方法及编辑基本方法, 新建,根据设计要求选择图层用不同的绘图命令绘制和按参数编辑、连接,测试4绘图及编辑常用命令的使用: Create— Rectangle 。create-rectangle left点拉升点 Instance、create-instance(名字不可改)填写库cell view 坐标等 Path、create-path 1点2点+回车/双击 Pcell、edit-hierarchy(分层)-make cell 填写,画长方形区域,ok Polygon、create- Polygon(F3),选择图层,点,点等,回车 Conics create-arc,点,点,点回车

相关文档
相关文档 最新文档