文档库 最新最全的文档下载
当前位置:文档库 › 石家庄学院EDA期末试卷2009年

石家庄学院EDA期末试卷2009年

石家庄学院EDA期末试卷2009年
石家庄学院EDA期末试卷2009年

石家庄学院2009—2010学年第二学期

《EDA技术》期末考试试卷

系电气信息工程系专业班级07级班姓名学号

一、填空题(本大题共20个空,每空1分,共20分)

1.EDA技术中,IP一般指,IP又分为。

2.一般情况下,可编程时序逻辑电路可由可编程的加上存储元件

构成。

3.CPLD是基于结构的可编程逻辑器件;FPGA是基于结构的可

编程逻辑器件,该结构由(RAM/ROM)构成逻辑函数发生器。

4.JTAG是的简称,又意指该组织提出的。

5.基于FPGA的数字系统设计完成后,投入使用时若使用专用配置器件进行配置,

则FPGA应采用(主动/被动)配置方式,若使用单片机进行配置,则FPGA

应采用(主动/被动)配置方式。

6.时钟信号CLK下降沿的VHDL表示为。

7.三态输出端口OUTPUT应定义为数据类型。

8.在VHDL语言的元件例化语句中,元件端口名与实例连接端口名的关联方式有

关联和关联两种方式。

9. VHDL程序中的注释行用表示,VHDL程序代码文件的扩展名是。

10.进程语句和结构体内其他语句之间是关系,进程内部的语句是关系。

11.QuartusII中对FPGA进行配置需使用编译产生的格式的配置文件。

12.QuartusII中能对LPM_ROM进行数据初始化的文件为格式。

二、选择题(本大题共10小题,每题2分,共20分)

1.现场可编程门阵列的英文简称是______。()

A. FPGA

B. PLA

C. PAL

D. PLD

2.下面数据中属于位矢量的是______。()

A. 4.2

B. 3

C.‘1’

D.“11011”

3.不完整的IF语句,其综合结果可实现________。()

A.时序电路

B.双向控制电路

C.条件相或的逻辑电路

D.三态控制电路

4. VHDL语言支持四种常用库,哪种库是用户的VHDL设计现行工作库?()

A. IEEE库

B. VITAL库

C. STD库

D. WORK工作库

5.进程中的信号赋值语句,其信号更新是______。()

A.立即完成

B.在进程的最后完成

C.按顺序完成

D.都不对

6.综合是EDA设计流程的关键步骤,综合就是把设计抽象层次中的一种表示转化

为另一种表示的过程;在下面对综合的描述中,哪一个是错误的?()

A.综合就是将电路的高级语言转化为低级的,可与FPGA/CPLD的基本结构相映

射的网表文件。

B.为实现系统速度、面积、性能的要求,需要对综合加以约束,称为综合约束。

C.综合可理解为将软件描述与给定的硬件结构用电路网表文件表示的映射过

程,并且这种映射关系不是唯一的。

D.综合是纯软件的转换过程,与器件硬件结构无关。

7.QuartusII中编译VHDL源程序时,要求()

A.文件名和实体名可以不同名

B.文件名和实体名无关

C.文件名和实体名要相同

D.不确定

8.下面不属于顺序语句的是()

A.IF语句

B.LOOP语句

C.PROCESS语句

D.CASE语句

线

9.QuartusII 开发环境中,编程器Programmer 在哪一个菜单栏中?( )

A. File

B. View

C. Assignment

D. Tool

10.QuartusII 的原理图输入法中,要将当前的设计项目设置成可调用的元件,其

菜单命令项的路径为( ) A. Tool → Create/Update → Create Symbol File for Current File B. Tool → Create/Update → Create HDL Design File for Current File

C. File → Create/Update → Create Symbol File for Current File

D. File → Create/Update → Create HDL Design File for Current File

三、简答题(本大题共4小题,共30分)

1.有定义SIGNAL d1 : BIT_VECTOR ( 4 DOWNTO 0 ),执行d1 <= ( 1=>’1’, 3=>’1’, OTHERS=>’0’ )后,d1的值为多少?(3分)

2.有信号D1、A 、B 、Q 及时钟信号CLK ,进程描述如下:

PROCESS(CLK) BEGIN IF CKL’EVENT AND CLK = ‘1’ THEN

A <= D1;

B <= A;

Q <= B; END IF;

END PROCESS;

若当前信号D1、A 、B 、Q 的值分别为‘1’、‘0’、‘1’、‘0’,则当CLK 上升沿后,

信号D1、A 、B 、Q 的值分别为多少?(4分)

3.判断下面三个程序(段)中是否有错误,若有则指出错误所在并改正。(18分)

程序1:

signal A, EN : std_logic; process( A, EN )

variable B : std_logic; begin

if EN = 1 then

B <= A; end if end process; 程序2:

architecture behave of sample is variable a, b, c : integer begin

c := a + b; en

d behave; 程序3: library ieee;

use ieee.std_logic_1164.all entity mux21 is

port( a, b : in std_logic;

sel : in std_logic; c : out std_logic;);

end sam2;

architecture one of mux21 is begin

if sel = ‘0’ then c := a ; else c := b ;

end if; end two;

线

4.下面的程序完成了4位二进制加法计数器的设计,请补充完整。(5分)

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL ; USE ; ENTITY CNT4 IS

PORT ( CLK : STD_LOGIC ;

Q : STD_LOGIC_VECTOR( 3 DOWNTO 0 ) ) ; END CNT4 ;

ARCHITECTURE bhv OF CNT4 IS

Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS BEGIN

IF CLK 'EVENT AND CLK = '1' THEN Q1 <= Q1 + 1 ; END IF; END PROCESS ; Q <= Q1 ; END bhv;

四、综合题(本大题共2小题,每题10分,共20分)

1.用存储器实现查找表结构的可编程组合逻辑电路。

已知容量为16×4的存储器的外部接线如图所示,确定存储器中各存储单元的

值以实现组合逻辑F1、F2

、F3、F4。其中,

F1=A BC ACD BCD ++

F2=AC D +BC+CD F3=A BC+ACD+BCD+BD F4=BC+BCD+BD

写出存储器中各存储单元的内容,要求有求解过程。

线

2.画出下列程序的原理图并说明其功能

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL ; ENTITY tri_state IS

PORT (control : in std_logic;

in1 : in std_logic_vector( 3 downto 0 ); q : inout std_logic_vector( 3 downto 0 ); x : out std_logic_vector( 3 downto 0 ) );

END tri_state;

ARCHITECTURE body_tri OF tri_state IS BEGIN

PROCESS( control, q, in1 ) BEGIN

IF control = '0' THEN x <= q ; q <= "ZZZZ" ;

ELSE q <= in1; x<="ZZZZ" ; END IF; END PROCESS; END body_tri;

六、程序设计题(10分)

用VHDL 语言设计8选1数据选择器。其中,D 7~D 0是数据输入端,S 2、S 1和S 0是控制输入端,Y 是数据输出端。当S 2、S 1、S 0=“000”时,D 0数据被选中,输出Y=D 0;当S 2、S 1、S 0=“001”时,D 1数据被选中,输出Y=D 1,以次类推。

《EDA 技术》试卷标准答案及评分标准

一、填空题(评分标准:每空1分)

1. 知识产权核 软IP 、固IP 和硬IP

2. 组合逻辑电路

3. 乘积项 查找表 RAM

4. 联合行动测试组 一种测试标准

5. 主动 被动

6. CLK’EVENT AND CLK=’0’

7. STD_LOGIC

8. 位置 名字

9. 双横线-- .vhd 10. 并行 顺序 11. SOF .hex 或.mif

二、选择题(评分标准:每小题2分)

1.A

2.D

3.A

4.D

5.B

6.D

7.C

8.C

9.D 10.C 三、简答题

线

1.d1=“01010”(3分)

2.D1=‘1’ A=‘1’ B=‘0’ Q=‘1’(4分,每信号值1分)

3.

程序1:EN = '1';(1分)

B := A; (1分)

end if ; (1分)

程序2:SIGNAL a, b, c : integer range (15 downto 0) ;

(1分)(2分)(1分)

C <= a+b; (1分)

程序3:use ieee.std_logic_1164.all ; (1分)

C : out std_logic ); (1分)

end mux21; (1分)

process(sel,a,b) (2分)

c <= a ; (1分)

c <= b ; (1分)

end process; (2分)

end one; (1分)

4.

IEEE.STD_LOGIC_UNSIGNED.ALL;(1分)

IN (1分)

OUT (1分)

SIGNAL (1分)

( CLK ) (1分)

四、综合题

1.用存储器实现查找表结构的可编程组合逻辑

答:由F1、F2、F3、F4的逻辑表达式可得F1、F2、F3、F4的卡诺图,继而得到各逻辑函数的真值表。

F3(1

分) F4(1分)

存储单元地址存储单元内容2.

答:该VHDL描述的原理图为:(5分)

该VHDL程序实现了双向端口的设计,其中q被定义为位宽为4的双向数据端口,并由control输入端对q端口的数据传输方向进行控制。(1分)当control= '0'时,q可以作为输入端口使用,其输入的值经过反相控制(右侧)的三态门输出给输出端口x,同时正相控制(左侧)的三态门截止,输入端in1的值不能输出给q端(即q='ZZZZ')。(2分)

当control= '1'时,q可以作为输出端口使用,输入端in1的值经过正相控制(左侧)的三态门输出给q端,同时,反相控制(右侧)的三态门截止,输入端in1的值(同时也是q端的值)不能输出给x端(即x='ZZZZ')。(2分)

五、程序设计题

(完成同一设计目的,可由多种程序设计方法,下面仅给出常用的一种设计方法)LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MUX81 IS

PORT( D7,D6,D5,D4,D3,D2,D1,D0 : IN STD_LOGIC;

S2,S1,S0 IN STD_LOGIC;

Y OUT STD_LOGIC ); (按题意进行端口定义 2分)END MUX81;

ARCHITECTURE ONE OF MUX81 IS

SIGNAL ABC : STD_LOGIC_VECTOR(2 DOWNTO 0); (信号定义 1分)

BEGIN

ABC<=S2&S1&S0; (信号赋值 2分。注意其位置在进程外)PROCESS( ABC, D7,D6,D5,D4,D3,D2,D1,D0 ) (进程敏感信号 1分) BEGIN

CASE ABC IS

WHEN ''000'' => Y<=D0;

WHEN ''001'' => Y<=D1;

WHEN ''010'' => Y<=D2;

WHEN ''011'' => Y<=D3;

WHEN ''100'' => Y<=D4;

WHEN ''101'' => Y<=D5;

WHEN ''110'' => Y<=D6;

WHEN ''111'' => Y<=D7;

WHEN OTHERS => NULL;

END CASE; (CASE语句的使用 2分)END PROCESS;

END ONE; (VHDL程序格式 2分)

龙岩学院 2013~2014第一学期课程表最新

龙岩学院数学与计算机科学学院2013~2014学年第一学期课程表(五)A 备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。上机课未标明地点的都在校公共机房。 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 5、11计算机师、11计算机非师第15周停课一周进行网络管理与设计实践;11软工第15周停课一周进行网络与通信课程实践。 教务处二○一三年九月

备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。上机课未标明地点的都在校公共机房. 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 5、12计算机专升本第15周停课一周进行WEB应用开发课程设计;12计算机第16周停课一周进行数据结构课程设计;12软工1、2班第16周停课一周进行面向对 象课程设计。 教务处数计院 二○一三年九月

备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。上机课未标明地点的都在校公共机房. 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 5、13计算机第16周停课一周进行程序设计基础课程设计;13计算机专升本第16周停课一周进行数据库系统原理课程设计 教务处数计院 二○一三年九月

《社会心理学》期末考试试题A卷

《社会心理学》期末考试试题(A卷) (13文秘301班 2013 ~2014 学年第 1 学期) 一、填空题:(每空 1 分,共 20 分) 1、自我意识的结构可以分成与两个对立的部分。 2、在形状知觉中知觉的整体性遵守、和三个原则。 3、一般说来,态度由、和三种因素构成。 4、交往的心理功能主要表现在、、和等方面。 5、记忆障碍是人们在、、或过程中表现出的障碍。 6、在团体中,由于个体的成绩没有被单独评价,而是被看作一个整体时,引发个体努力程度下降的现象,称为。 7、心理学家伯克威茨认为,挫折的存在不一定导致个体的侵犯,只是使个体处于状态。 8、个人的观念或行为由于群体的引导或压力向与多数人相一致的方向变化的现象称为。 9、角色扮演者在角色扮演中出现的心理与行为上的不适应状态称为。 二、判断题:(每小题2 分,共 20 分) 1.人到老年,个体的社会化不再继续进行。() 2.团体的凝聚力越大,从众行为也越常发生。( ) 3.在面部表情中,微笑是很重要的,俗话说“眼睛是心灵的窗户”就说明了这一点。( )

4.社会心理学的发展史中,最早以社会心理现象作为讨论的中心课题的,是德国的民族心理学派。其代表人物是德国哲学家M.拉扎鲁斯和语言学家H.施坦塔尔。() 5.反向社会化是指个人接受与主流文化相对立的文化的过程。() 6.大众传播媒介指的是人们用来进行沟通信息的各种通讯与交往手段,包括报纸、杂志、广播、电视、书籍等。它既有积极作用,同时也有其消极作用。() 7.个人的面部表情是先天的,与后天环境无关。() 8.社会判断是在社会知觉和社会印象基础上对认知客体的评价和推论。()9.事后诸葛亮在社会心理学上被称为证实偏差。() 10.“近朱者赤,近墨者黑”讲的是一个人的态度的形成要受周围环境的影响。() 三、选择题:(每小题 2 分,共20 分) 1. 人际交往中的曝光效应显示出,()会对人际吸引起作用。 A. 接近性 B. 互补性 C. 相似性 D. 熟悉性 2. 奥斯古德用语义分析的实验证明了我们在印象形成时,用以评价他人的基本维度是()。 A. 评价、力量、活动性 B. 知识、感召力、领导力 C. 能力、正直 D. 中心特征、边缘性特征 3. 在接受别人对待时,纵使施予者出自善意,但如接受者感到自由受到限制时,也会心生抗拒。这种心态称为()。 A. 出丑效应 B. 心理反感 C. 过度理由效应 D. 认知失调 4. 人际关系是人与人之间通过动态的相互作用形成起来的以()为基础的联系。 A. 情感 B. 认知 C. 利益 D. 兴趣 5. 危机现场中,在场人数愈多时,见义勇为的助人行为出现的可能性反而愈少。这种现象称为()。 A. 冒险偏移 B. 谨慎偏移 C. 旁观者效应 D. 社会抑制 6. 研究显示,()因素对亲密关系有重要的影响作用。 A. 社会经济地位 B. 相貌 C. 个人能力 D. 依恋类型

龙岩学院学生素质综合测评细则(

龙岩学院学生素质综合测评细则 一、思想品德素质测评(15分) 评分方式:自评(10%),十人小组评分(20%)导师评分(70%) 评分细则:十人评分小组(由班长,团支书,学习委员,以及个宿舍宿舍长组成)给每位同学评分,去掉一个最高分、去掉一个最低分,取余下分数的 平均分就是该同学该栏目的得分。(各班级可以另外再找三个可靠且 诚实正直的同学担任工作人员,十人评分小组只要负责对该同学进行 全盘思索以期给予最公正、客观的分数就可以了。) 评分要求:1、对各位同学的“政治素质”、“品德修养”、“学习态度”、“法纪观念”及“劳动实践”五个部分进行综合评分,详细参照学生手册53 页;(好20-19分、一般19-17分、较差17-14分、差14-10分) 2、受到警告以上处分的同学,十人评分小组成员给其打的分数应在 “差(10分以下)”。 3、一学期累计扣分达6分以上的同学,思想品德素质测评应为差; 二、体育素质测评(10分) 。评分要求:1、一般同学起评分为8分;(体育成绩优秀6分,良5.5分,中5分,及格4.5分,不及格4分。竞赛分4分。) 注:班级成员有无参加体育竞赛及获奖情况请体育委员统计。 三、能力素质测评(15分) (1)社会工作能力素质分(5分)(起评分2.5分) 评分要求: 1、积极主动地协助教师、学生干部开展工作或协助组织集体活动的一般同学,可根据工作表现、工作能力、工作效果,酌情评定社会工作能力分0--2分。(由班长和辅导员打分) 2、对于班、院、校干的打分,做两个或多个职务的同学可以累积加分,(即最高职务分+另一职务的1/2)但全部分数加起来超过5分的以5分计。具体如下:(校、院一致) 学生会主席、团委办公室主任、团委副书记加2.5分 副主席、主席助理、办公室副主任、各部部长、青年志愿者协会会长、贴心服务社社长加2分 各部副部长加1.5分 全体普通干事加1分 (班级) 班长、团支书、学习委员加2.5分 组宣科委员、生劳委员、体育委员、心理保健员、文艺委员、舍长加 1分

西华师范大学级《复变函数论》期末试卷

西华师范大学学生试卷 年 月 日 第 期 共 页 考室 数学与信息学院2010级数学与应用数学专业《复变函数论》试题A 卷 闭卷考试 时间120分钟 注意事项:1.满分:100分。保持卷面整洁,否则扣卷面 3分。 2.交卷时请将试题卷与答题卷一起交,否则扣分。 3.学生必须将姓名、班级、学号完整填写在规定的密封栏目内。否则视为废卷。 4.学生必须签到,否则出现遗漏概责任自负。 一、填空题(每小题3分,共30分) 1、复数 的三角表示式为 。 2、函数 将z 平面上以原点为心,R 为半径的圆,变为 平面上何种 图形? 。 3、若z 0是 的极点,则 。 4、复数项级数 的收敛范围是 。 5、在 内,函数 的洛朗展式是 。 6、 。 题号 一 二 三 四 五 六 七 八 九 十 总分 阅卷教师 得分 得分 阅卷人 1i i -z R ω=ω0 lim ()z z f z →=()f z 31n n z n +∞=∑01z <<1 (2)(1)z z z -+21 5(21)(2)z z dz z z -==+-?

7、已知V 是U 的共轭调和函数,则V 的共轭调和函数是 。 8、就奇点类型,z =∞是 的 。 9、函数 的支点是 。 10、z=0是 的 阶极点。 二、计算题(每小题10分,共20分) 1、用Cauchy 积分理论计算函数 分别沿周线: L 1: 和L 2: 的积分。 2、试用留数理论计算积分 ,其中z 0为 的任意复数。 三、解答题(每小题10分,共40分) 1、已知u(x,y)=3x 2-3y 2,试求v(x,y)使f(z)=u(x,y)+iv(x,y)为解析函数且满足f(0)=i 。 2、已知 ,求 的值。 3、将函数 4、 四、证明题(共10分) 得分 阅卷人 得分 阅卷人 得分 阅卷人 cos z z 3()(2)f z z z =-4()shz f z z =22()(3)(9)z e f z z z =--1z =13z -=301 ()z e dz z z =-?1z ≠23 25()i f z d z ζζζζζ=-+=-?(1)f i -221 ()011(1)(2) f z z z z z z =<-<--在内展开成幂级数。2351()i f z z z z π=--求的所有奇点,并指明其类型。 22 0Re ':0 Re z w G z B A G w B A ππ= --试证:将:映射成为。

《龙岩学院专业技术职务聘期考核管理办法(试行)》

岩学院人〔2014〕59号 关于印发《龙岩学院教师等专业技术职务聘期考核管理办法(试行)》的通知 各单位: 现将《龙岩学院教师等专业技术职务聘期考核管理办法(试行)》印发给你们,请遵照执行。 附件:1.龙岩学院高校教师类专业技术职务聘期考核基本要求 2.龙岩学院实验技术类专业技术职务聘期考核基本要求 3.龙岩学院图书资料、档案、出版专业类和公共服务类 专业技术职务聘期考核基本要求 龙岩学院 2014年12月23日

龙岩学院教师等专业技术职务聘期 考核管理办法(试行) 根据《福建省人民政府关于进一步支持高校加快发展的若干意见》(闽政〔2012〕47号)、《福建省高校教师等专业技术职务聘任制实施办法(试行)》(闽人〔2012〕206号)和《龙岩学院教师等专业技术职务聘任制实施方案(试行)》等文件精神,结合我校实际,制定本办法。 一、指导思想与基本原则 (一)指导思想 以邓小平理论、“三个代表”重要思想和科学发展观为指导,进一步深化我校人事制度改革,实行教师等专业技术职务聘任考核管理制度,形成职务能上能下、待遇能高能低,有利于优秀人才脱颖而出,人尽其才、充满活力的用人机制。 (二)基本原则 教师等专业技术职务聘期考核管理应遵循如下原则: 1.在公平、公正、公开的环境下进行的原则; 2.有利于完成办学任务和提高教学质量、提升科研和服务社会水平的原则; 3.根据岗位制定不同类别专业技术职务和岗位的考核要求的原则; 4.肯定教师的历史贡献,对具有高级专业技术职务接近退休的教师给予有条件保障的原则; 5.严格按照工作任务要求进行考核管理的原则。 二、实施范围与对象 1.聘任在教师等专业技术职务的人员; 2.具有由省级主管部门组织评审的专业技术职务资格但未

《社会心理学》期末考试试题A卷

一、填空题:(每空 1 分,共 20 分) 1、自我意识的结构可以分成 与 两个对立的部分。 2、在形状知觉中知觉的整体性遵守 、 和 三个原则。 3、一般说来,态度由 、 和 三种因素构成。 4、交往的心理功能主要表现在 、 、 和 等方面。 5、记忆障碍是人们在 、 、 或 过程中表现出的障碍。 6、在团体中,由于个体的成绩没有被单独评价,而是被看作一个整体时,引发个体努力程度下降的现象,称为 。 7、心理学家伯克威茨认为,挫折的存在不一定导致个体的侵犯,只是使个体处于 状态。 8、个人的观念或行为由于群体的引导或压力向与多数人相一致的方向变化的现象称 为 。 9、角色扮演者在角色扮演中出现的心理与行为上的不适应状态称为 。 二、判断题:(每小题2 分,共 20 分) 1.人到老年,个体的社会化不再继续进行。( ) ( ) 4.社会心理学的发展史中,最早以社会心理现象作为讨论的中心课题的,是德国的民族心理学派。其代表人物是德国哲学家M.拉扎鲁斯和语言学家H.施坦塔尔。( ) 5.反向社会化是指个人接受与主流文化相对立的文化的过程。( ) 6.大众传播媒介指的是人们用来进行沟通信息的各种通讯与交往手段,包括报纸、杂志、广播、电视、书籍等。它既有积极作用,同时也有其消极作用。( ) 7.个人的面部表情是先天的,与后天环境无关。( ) 8.社会判断是在社会知觉和社会印象基础上对认知客体的评价和推论。( ) 9.事后诸葛亮在社会心理学上被称为证实偏差。( ) 10.“近朱者赤,近墨者黑”讲的是一个人的态度的形成要受周围环境的影响。( ) 三、选择题:(每小题 2 分,共20 分) 1. 人际交往中的曝光效应显示出,( )会对人际吸引起作用。 A. 接近性 B. 互补性 C. 相似性 D. 熟悉性 2. 奥斯古德用语义分析的实验证明了我们在印象形成时,用以评价他人的基本 维度是( )。 A. 评价、力量、活动性 B . 知识、感召力、领导力 C. 能力、正直 D. 中心特征、边缘性特征

《复变函数论》试卷一

《复变函数论》试卷一 一、填空(30分) 1. 将复数()πααα≤≤+-=0sin cos 1i z 化为三角表示式,则=z 把它化为指数表示式,则=z 2.=+i e π3 ,()i i +1的辐角的主值为 3. =z 0是()44sin z z z f =的 阶零点. 4.0z 是()z f 的()1>m m 阶零点,则0z 是 () z f '1 的 阶极点. 5.已知()()2323cxy x i y bx ay z f +++=为解析函数, 则___________________===c b a 6.方程0273=+z 的根为 , , 二、简要回答下列各题(15分) 1. 用复数i 去乘复数i +1的几何意义是什么? 2. 函数()z f 在0z 解析有哪几个等价条件? 3. 设函数()z f 在单连通区域D 内处处解析,且不为零,C 是D 内的任一简 单闭曲线,问积分()() dz z f z f c ? '是否等于零,为什么? 三、计算下列积分(16分) 1. c zdz ?,c 是从点1i -到点1i +的有向直线段 2. 20 2cos d πθ θ +? 四、(12分) 求函数() 1 1z z +在圆环112z <-<内的洛朗级数展开式.

五、(12分) 证明方程24290z z ++=在单位圆1z =内及其上无解. 六、(15分) 求映射,把带形区域0Re 2z <<共形映射成单位圆1w <,且把1z =映 射成0w =,把2z =映射成1w =. 《复变函数》试卷二 一、填空题(20分) 1. -2是 的一个平方根 2. 设2 1i z --= ,则,=z Argz = =z Im 3. 若2 2z z =,则θi re z =满足条件 4. =z e e ,() =z e e Re 5. 设1≠=θi re z ,则()=-1ln Re z 6. 设变换βαβα,,+=z w 为复常数,则称此变换为 变换,它是由 等三个变换复合而成. 7. 幂级数∑∞ =1 2n n n z n 的收敛半径=R 8.函数 b az +1 在0=z 处的幂级数展开式为 ,其收敛半径为 9.变换z e W =将区域π<

龙岩学院关于修订各专业培养方案的指导意见

龙岩学院关于修订各专业培养方案的指导意见培养方案是高等教育人才培养模式的具体化,是人才培养的重要蓝图,是课程体系改革的体现,是培养学生素质和提升学生专业水平的框架,是保证教学质量的基础,是组织教学过程、安排教学任务、规定学习任务的根本依据,是学校教学管理和教学运行的重要文本。为更好地适应高等教育的改革与发展,根据教育部《关于普通高校修订本科专业培养方案的原则意见》和福建省教育厅《福建省高等学校教学常规管理规范》的精神,结合我校的具体情况,现按学分制教学管理的要求,对我校各专业培养方案的修订工作提出以下意见: 一、指导思想 专业培养方案的修订工作要以邓小平理论和“三个代表”的重要思想、科学发展观为指导,全面体现“教育要面向现代化、面向世界、面向未来”的时代精神,坚定不移地贯彻落实党的教育方针,遵循高等教育、教育工作的基本规律,以21世纪高等教育发展为先导,积极地吸收高等教育在教育思想与教育观念、教学内容与课程体系、教学方法与教学手段等方面取得的成果,以改革和创新的精神,构建适应社会人才多元化和学生发展多元化需要的人才培养体系,培养德、智、体、美全面发展的专门人才。师范类专业毕业的学生还必须具有现代教育理念,懂得教育学、心理学基本知识,掌握现代教育技术,适应基础教育改革和发展的需要。 二、基本原则 (一)培养方案的修订要坚持知识、能力、素质协调发展和综合提高的原则,使学生在德、智、体、美等方面得到更好的全面发展,重点是要为学生构设一个合理的知识、能力、素质结构。为此,第一、在知识、能力、素质的关系上,要强调加强学生的全面素质培养,要在重视知识传授的基础上,大力加强学生获取知识、提出问题、分析问题和解决问题的能力培养,要将知识、能力内化为素质;第二、在基础教学与专业教学的关系上,要强调拓宽基础教学的内涵,改变教育内容偏窄、偏专的倾向,要加强包括自然科学基础和人文科学基础在内的基础知识、基本理论、基本技能的教学和基本素质的培养,采取多种形式加强文化素质教育,使学生通过学习能够构建起可适应终身教育及社会发展变化需要的知识、能力结构和基本素质;第三、要加强和改进培养学生创造性思维的教学环节,把培养学生创新思维能力融合于教学的全过程之中。 (二)培养方案的修订要充分体现整体优化的原则,科学地处理好各教学环节的关系。首先,要进行课程整合,根据培养目标构建融会贯通、紧密配合、有机联系的课程体系,改变内容陈旧、分割过细和简单拼凑的状况,避免脱节和不必要的重复,防止“因人设课”和“因无人而不设课”的情况出现,加强同类专业课程内容和体系上统筹和协调;其次,要处理好理论教学与实践教学的关系,加强教学科研和社会实践的有机结合,丰富实践教学内容、方式和途径。第三、要处理好课内教学和课外指导的关系,改变单纯重视课堂教学的倾向,通过

2016年石家庄学院专接本

(一)报考条件: 根据文件规定,石家庄学院专接本,本次考试选拔对象,应符合以下条件: 1.在校期间政治思想表现优秀,遵守校纪校规,文明礼貌,未受到任何处分。 2.学历要求:专科(应届相应专业),无重考,无重修记录,身心健康。 3.平时必修课程和限选课程学习成绩优秀和综合素质好。 4.以综合考试成绩为录取依据,首先按各专业实考人数划定分数资格线,再按成绩从高到低择优录取。 5.综合考试成绩将在录取前公示7天,录取过程中,如果有排名在录取名额内的考生自愿放弃,在名额外的学生按顺序递补。 (二)报考事项: 历年真题QQ在线咨询:363、916、816张老师。学校各相关学院成立工作小组,确定工作中的相关原则政策和办法研究重大事项;负责本学院考试工作的组织宣传事项和实施工作;完成报考成绩的统计及综合排名汇总材料并上报填表。 1.各学院要先完成报考专业的成绩进行排名,根据名单确定考生的具体范围。 2.符合上述条件的参加综合考试,根据报考专业并提交书面申请材料审核。 3.工作领导小组审核汇总名单后,将公示7天,期满后不再提示。 4.各相关专业按照考试科目的顺序依次进行。

5.考试成绩以书面通知形式发到学生本人。 (三)考试流程: 1.参加初试并获得复试资格的考生,应在复试前填写相关表格,按规定时间提供自身研究潜能的材料,攻读大学阶段的研究计划、科研成果等。 2.报考考生的资格审查由领导小组进行审查,对考生料进行审阅符合报考条件的考生统计填表。 3.我校采取笔试、口试或两者相兼的方式进行差额复试,以进一步安排加强进行考察学生的专业基础、综合分析能力、解决实际问题的能力和各种应用能力等。具体比例由学校根据本学科、专业特点及生源状况安排。 (四)复习方略: 1.注重课本很多考生会安排各种各样的资料,其实关键要能保证你进行的系统性。因此整个阶段应该以真题为主,以精读的方式对教材重点章节相关要点,对课本有一个纲领性的认识。对课后题必须要掌握,很多知识点题都出自课后。专业基础知识、该专业关注的研究方向。较为系统的了解都要以记忆为基础一定要做到对书的大体框架有全面的把握,把整个原理的前后概念贯穿起来。 2、在复习充分的情况下做完后对照答案进行对比,看看自己的差距在哪。接下来才是最重要的,要根据专业课的真题都会出什么题型,总结其考察重点是什么是哪一章节。在熟悉这些之后呢,一定要必须的题目都整理出来行理解背诵。根据科目的先后顺序,因为通常前几年出现的题目会出现,根据政策方向考核对照问题的深度和广度,结合自己的知识结构知识存量,正确的安排答题技巧针对有限的知识来最好地回答。专业课的难度绝不亚于英语,对掌握的侧重点范围解题

社会心理学期末考试复习题答案

《社会心理学》期末复习指导2012.6.10 一、考试情况介绍 (一)考试方式: 期末考试采用半开卷、笔试考试的方式,允许考生携带一张A4纸(上面可用于记录知识要点)入场。试卷分值为100分,比例占总成绩的70%,考试时间为90分钟。 (二)试卷结构与试题类型: 试题分为较难、适中、较易三个等级,分别占卷面总成绩的20%、40%、40%。 试题类型包括: 1.填空题(每空1分,共15分) 2.单项选择题(5道题,每题2分) 3.名词解释题(5道题,每题5分) 4.简答题(3道题,每题10分) 5.应用分析题或论述题(1道题,20分) 二、复习范围 以教材《社会学心理学教程》(乐国安主编,中央电大出版社2010年版)为依据,以电大在线课程端发布的形考作业题、期末复习指导(视频)、期末辅导(文本)为范围进行复习。

三、各章要点及重点习题 第一章绪论 1.1908 年,美国社会学家(罗斯)和英国心理学家(麦独孤)各出版了一本社会心理学专著,标志着社会心理学终于从促使其诞生的社会学和心理学土壤中脱生出来,并走向独立。2.由于研究使用的场合的不同,实验法分为()实验法和()实验法两种形式。 3.实验法有别于其他研究方法的重要特点在于对所研究的情境给予一定程度的控制,突出()和()之间的关系。 4.观察法有多种形式,可分为()与()。5.调查法分为两种,一种是(),另一种是 ()。 2.简述调查法的种类及其优缺点。(见教材)P9-10答: 第二章社会化 1.以内容为划分依据,社会化主要包括(道德)社会化、(政治)社会化和(法律)社会化。P27-30 2.根据角色扮演者获得角色的方式不同,可以把角色分为(先

第二学期 复变函数论期末试卷A

黄冈师范学院 2009—2010学年度第二学期期末试卷 考试课程:复变函数论 考核类型:考试A 卷 考试形式:闭卷 出卷教师: 考试专业:数信学院数教 考试班级:数教200701-02班 一、 选择题(每小题4分,共20分) 1、复数i z 45-=,则=2Re z ( ) A 、40 B 、9 C 、-40 D 、-9 2、关于复数z ,下列不正确的是( ) A 、||2z z z = B 、)Im()Re(iz z = C 、z Argz arg = D 、z z sin )sin(-=- 3、已知xy i y x z f 2)(22+-=,则)(z f ''是( ) A 、2 B 、y x 22- C 、2z D 、0 4、下列等式中不正确的是( ) A 、?==0cos 111z dz z B 、02111=?=dz e z z z C 、??=dz z f k dz z kf )()( D 、? =z z e dz e 5、下列级数收敛的是( ) A 、∑∞ =+1)21(n n i n B 、∑∞=??????+-12)1(n n n i n C 、∑∞=02cos n n in D 、∑∞=+o n n i )251( A 卷 【第 1 页 共 2 页】

二、填空题(每小题4分,共20分) 1、=-)22(i Arg ____________; 2、函数z e z f =)(是以 _______为基本周期; 3、幂级数∑∞ =12n n n z 的收敛半径R=____________; 4、函数()z z f cos =在0=z 处的泰勒级数是_________ ; 5、计算积分?==1||1 2 z z dz e 二、 判断题(每小题2分,共10分) 1、在几何上,θi re z =与)2(πθk i re z +=表示同一个复角.( ) 2、当复数z=0时,则有0=z 和0arg =z .( ) 3、可导函数一定处处连续,连续函数不一定处处可导.( ) 4、若)(z f 在区域D 内解析,则)(z f 在D 内存在无穷阶导数.( ) 5、收敛级数的各项必是有界的.( ) 三、 计算及证明题(8+8+10+12+12,共50分) 1、若0321=z z z ,则复数321,,z z z 中至少有一个为零(8分) 2、已知解析函数iv u z f +=)(的虚部为222121y x v +- =,且0)0(=f ,求)(z f (8分) 3、已知c 为从z =0到z =2+i 的直线段,求?dz z c 2(10分) 4、将z e z -1在0=z 处展成幂级数(12分) 5、将函数2 )(+=z z z f 按1-z 的幂展开,并指出它的收敛范围.(12分) A 卷 【第 2 页 共 2 页】

复变函数论作业及答案

习题1 第一章 复数与复变函数 1.12z = =求|z|,Argz 解:123212 2 =??? ? ??+??? ??=z Argz=arctan 212-+2k π=23k π π+-, ,2,1,0±±=k 2.已知2 11i z += ,=2z i -3,试用指数形式表示2 1 21z z z z 及 解:2 11i z += i e 4 π = =2z i -3i e 6 2π -= 所以21z z =i e 6 2π -i e 4 πi e 12 2π - = 2 1z z i i i i e e e e 125)64(64 21212π π ππ π ===+- 3. 解二项方程440z a += )0(>a 解 由440z a +=得44z a =- 则二次方程的根为 k w a = (k=0,1,2,3) =24k i e a ππ+? (k=0,1,2,3) 0w =4 i e a π? =234 4 1(1)2 i i a w e a e a i ππ π+?===-+

54 2(1)2i a w e a i π==-- 74 3(1)2 i a w e a i π==- 4 .设1z 、2z 是两个复数,求证: ),Re(2||||||212221221z z z z z z -+=- 证明:()() 21212 21z z z z z z --=- () 2 12 22 121212 2211 2212 221Re 2z z z z z z z z z z z z z z z z -+=--+=---= 5. 设123z ,z ,z 三点适合条件: 1230z z z ++=及1231z z z === 试证明123z ,z ,z 是一个内接于单位圆周1z =的正三角形的顶点。 证明:设111z x iy =+,222z x iy =+,333z x iy =+ 因为1230z z z ++= ∴1230x x x ++=,1230y y y ++= ∴123x x x =--,123y y y =-- 又因为1231z z z === ∴三点123z ,z ,z 在单位圆周上,且有222222112233x y x y x y +=+=+ 而()()2 2 22112323x y x x y y +=+=+ ()()2 223231x x y y ∴+++= ()232321x x y y ∴+=- 同理=+)(22121y y x x ()()131********x x y y x x y y +=+=- 可知()()()()()()2 2 2 2 2 2 121223231313x x y y x x y y x x y y -+-=-+-=-+-

龙岩学院授予学士学位工作实施细则(试行)

龙岩学院授予学士学位工作实施细则(试行)(2009.9.1修订) 时间:2009-10-13 10:23:43 来源:龙岩学院教务处阅读832次 (二OO九年九月一日修订) 为做好我校学士学位授予工作,保证学士学位授予质量,根据《中华人民共和国学位条例》和《福建省普通高等学校学士学位授予工作暂行办法》,结合我校实际,特制定本细则。 一、学位评定委员会的组成: 校学位评定委员会由十五至二十五人组成,每届任期三年,设主席一人,副主席若干人,委员会成员原则上由具有副高以上(含副高)职称的人员组成。各院(系)成立学位评定分委员会,学位评定分委员会由五至九人组成,每届任期二年,设主席一人,副主席二人,委员会成员原则上由具有副高以上(含副高)职称的人员组成,分委员会主席必须由校学位评定委员会委员担任。 二、校学位评定委员会的职责: 1、根据学位条例的规定,审议学士学位授予专业。 2、审批各院(系)学位评定分委员会成员名单。 3、审查通过学士学位获得者名单。 4、处理授予学士学位工作中的争议和其他事项。 三、院(系)学位评定分委员会职责: 1、审批毕业论文(设计)等答辩委员会成员名单。

2、按学位授予条件对本科毕业生的政治思想表现、课程成绩、毕业实习(教育实习)和毕业论文(设计)等进行审查,提出拟授予学士学位的学生名单和拟不授予学士学位的学生名单。 3、向校学位评定委员会反映有关授予学位的争议问 题,并提 出处理意见。 4、处理校学位评定委员会授权办理的有关事项。 四、校学位评定委员会下设办公室,负责学位评定委员会的有关工作,办公室设在教务处。 五、授予学士学位的条件: 1、本科毕业的学生,拥护中国共产党的领导,遵纪守法,遵守学术道德规范。 2、较好地掌握本门学科的基础理论、专业知识和基本技能,具有从事教学、科学研究或担负专业技术工作的初步能力。 3、毕业实习(教育实习)、毕业论文(设计)的成绩达中等(含中等)以上。 六、有下列情形之一者,不授予学位: 1、因考试违纪受到留校察看处分者; 2、经重修才获得的学分超过28学分者。 七、学士学位审批按以下程序进行: 1、各院(系)学位评定分委员会根据授予学士学位授

2020年石家庄学院招聘辅导员试题及答案

2020年石家庄学院招聘辅导员试题及答案 注意事项 1、请用钢笔、圆珠笔或签字在答题卡相应位置填写姓名、准考证号,并用2B铅笔在答题卡指定位置填涂准考证号。 2、本试卷均为选择题,请用2B铅笔在答题卡上作答,在题本上作答一律无效。 一、单项选择题(在下列每题四个选项中只有一个是最符合题意的,将其选出并把它的标号写在题后的括号内。错选、多选或未选均不得分。) 1、由个体内在需要引起的动机是()。 A、高尚动机 B、内部动机 C、低级动机 D、外部动机 【答案】B 2、形成性评价通常发生在()。 A、教学过程之前 B、学生毕业时 C、教学过程之后 D、教学过程之中 【答案】D 3、能够集中反映人的心理面貌的独特性的是()。 A、个性的调节系统 B、个性的倾向性 C、个性的心理特征 D、个性的能动性 【答案】C 4、我国农村发展学前教育的一种重要形式是()。 A、幼儿园 B、托儿所 C、混合班 D、学前班 【答案】D 5、特殊教育学校(班)学生人均公用经费标准应当()普通学校学生人均公用经费标准。 A、相当于

C、高于 D、低于 【答案】C 6、“人心不同,各如其面”,这句俗语为人格的哪种特点作了最好的诠释?() A、稳定性 B、独特性 C、整合性 D、功能性 【答案】B 7、从教育学的角度来研究,师生关系主要是一种()。 A、影响与被影响的关系 B、教育与被教育的关系 C、主体与客体的关系 D、权利与义务的关系 【答案】A 8、用实证的方法来研究知识的价值问题,重视实科教育的教育家是()。 A、卢梭 B、斯宾塞 C、裴斯泰洛齐 D、赫尔巴特 【答案】B 9、我国现行幼儿园的体制大多数是按幼儿的()分班。 A、心理年龄 B、实际年龄 C、智力年龄 D、知识经验 【答案】B 10、“灵感或顿悟”是()。 A、创造思维 B、再现思维 C、分析思维 D、直觉思维

社会心理学期末试卷及答案全集

社会心理学试题1 一、单选题: 1、下列选项中,不属于良好人际关系原则的是(C)。(分) P155 A、相互性原则 B、平等性原则 C、强化原则 D、交换性原则 2、刻板印象具有(D)的意义,使人的社会知觉过程简化。(分) P120 A、消极 B、破坏性 C、概括定型 D、社会适应 3、历史上最早的社会心理学研究,是围绕(D)的哲学争辩。(分) P92 A、社会分层 B、本能和教育 C、遗传和环境 D、人性 4、首因效应的存在表明(B)很重要,个体对后续信息的解释往往是以其为根据来完成的。(分) P119 A、印象形成 B、第一印象 C、信息加工 D、印象管 5、霍兰德(E. P. Hollander,1976)认为社会心理学的历史按顺序可划分为(B)等三个阶段。(分) P91 A、经验描述、实证分析与哲学思辨 B、哲学思辨、经验描述与实证分析 C、哲学思辨、实证分析与经验描述 D、实证分析、经验描述与哲学思辨 6、社会行为是人对(B)引起的并对社会产生影响的反应系统。(分) P90 A、周围环境 B、社会因素 C、现实生活 D、即时情境 7、美国心理学家罗特(J. Rotter)关于个体归因倾向的理论是(A)理论。(分) P123 A、控制点 B、稳定性 C、可控性 D、内外因 8、在斯坦伯格(R. Sternberg,1988)的爱情三角形中,一见钟情属于(C)。(分) P170 A、迷恋爱 B、愚蠢爱 C、浪漫爱 D、空洞爱 9、我国的劳动教养和劳动改造制度是一种(C)的机制。(分) P108 A、终身社会化 B、继续社会化 C、再社会化 D、早期社会化 10、受(A)的影响,个体记住的,往往是对他有意义的或者是以前知道的东西。(分) P118 A、图式 B、自我意识 C、遗忘曲线 D、印象 11、对肯定自我价值的他人,个体对其认同和接纳,并反过来予以肯定与支持。这一现象说明了(B)。(分)P155 A、人际关系的相互性原则 B、人际关系的自我价值保护原则 C、人际关系的交换性原则 D、人际关系的平等性原则

《复变函数》-期末试卷及答案(A卷)

《复变函数》试卷 第1页(共4页) 《复变函数》试卷 第2页(共4页) XXXX 学院2016—2017学年度第一学期期末考试 复变函数 试卷 一、单项选择题(本大题共10小题,每题3分,共30分,请从每题备选项中选出唯一符合题干要求的选项,并将其前面的字母填在题中括号内。) 1. =)i Re(z ( ) A.)i Re(z - B.)i Im(z C.z Im - D.z Im 2. 函数2 ) (z z f =在复平面上 ( ) A.处处不连续 B. 处处连续,处处不可导 C.处处连续,仅在点0= z 处可导 D.处处连续,仅在点0=z 处解析 3.设复数a 与b 有且仅有一个模为1,则b a b a --1的值 ( ) A.大于1 B.等于1 C.小于1 D.无穷大 4. 设x y z f y x z i )(i +-=+=,,则=')(z f ( ) A.i 1+ B.i C.1- D.0 5.设C 是正向圆周 1=z ,i 2sin π=?dz z z C n ,则整数n 等于 ( ) A.1- B.0 C.1 D.2 6.0=z 是2 1 )( z e z f z -=的 ( ) A.1阶极点 B.2阶极点 C. 可去奇点 D.本性奇点 7.幂级数!2)1(0 n z n n n n ∑∞ =-的和函数是 ( ) A.z e - B.2 z e C.2 z e - D.z sin 8.设C 是正向圆周 2=z ,则 =?C z dz 2 ( ) A.0 B.i 2π- C.i π D.i 2π 9.设函数)(z f 在)0( 00+∞≤<<-

龙岩学院大地测量学与测量工程

龙岩学院大地测量学与测量工程 重点学科 自 评 报 告 二0一0年四月

大地测量学与测量工程属于测绘科学与技术的三个二级学科之一,我校该学科下招收的测绘工程专业是我省当时唯一的测绘类本科专业(闽江学院已于2007年开始招收该专业本科生),在校院两级领导的关心和指导下,几年来,经过该学科全体教师的共同努力,学科建设按计划进展顺利。现结合近几年来测量教研室在重点学科建设中的发展历程报告如下,请各位专家审阅。 一、学术队伍整体教研、科研能力较强,发展趋势良好 1、学科带头人 大地测量学与测量工程学科负责人陈绍杰副教授,1988年中国矿业大学矿山测量专业本科毕业,2007年至今在山东科技大学在职攻读硕士学位,2001年取得高级讲师职称,2003年取得副教授资格,中国测绘学会矿山测量委员会委员、福建省测绘学会理事。现任龙岩学院资源工程学院党总支书记,具有较强的教学、科研和管理能力。系统讲授了本科生的《测量平差》、《测绘学概论》、《遥感原理与应用》等课程,教学效果好。近4年来,以第一作者在测绘工程权威刊物上发表论文6篇,与他人合作发表论文1篇,出版学术专著1部,作为主要人员参加国土环境与灾害监测国家测绘局重点试验室开放式基金项目1项,主持地市级科研课题5项。近三年可支配科研经费共13万,年均科研经费4.3万。 2、专业教师队伍 经过几年的努力,测绘专业的师资力量得到了增强,教师队伍的专业结构、职称结构、学历结构、年龄结构趋于合理,学术梯队已基本形成,能较好地满足教学和科研的需要。教师的学缘组成良好,8位专业教师分别毕业于中国矿业大学、西安工程学院、江西理工大学、山东科技大学。全日制本科学生163名,生师比为20.38:1。 现有8名专任教师都从事测绘科学与技术学科的教学与科研工作,其中具有硕士学历(或学位)教师2人,占25%;2名教师在职攻读硕士学位,占25%;教师中副高职称3人、中级职称3人、初级职称2人,百分比分别为37.5%、37.5%、25%。 教师年龄结构:中年(36~55岁)5人,占62.5%;青年(35岁以下)3人,占37.5%。教师年龄结构较好,中年教师占多数,教学经验丰富。 注重中、青年教师的培养,鼓励中、青年教师考研、进修,同时,每位年轻教师均安排资历较深、经验丰富的教师作为他们的导师,担任教学和科研的指导,学科学术梯队已基本形成。 3、实验教学队伍 目前测绘工程专业实验教师都由兼职教师组成,各课程实验主要由任课教师负责,实验室管理主要由2位实验系列职称教师负责,8名兼职实验教师中有副教授3名、讲师2名、助教1名、实验师1名、助理实验师1名。

石家庄学院单片机期末考试试卷

石家庄学院2007—2008学年第一学期 《单片机》期末考试试卷 系电气信息工程专业通信工程班级10级班姓名学号 一、填空题(本大题共10个小题,每题2分,共20分) 1、如果(A)=45H,(R1)=20H,(20H)=12H,执行XCHD A, @ R1; 结果(A)= 42H ,(20H)= 15H 2、AT89S51的异步通信口为全双工(单工/半双工/全双工),若传送 速率为每秒120帧,每帧10位,则波特率为1200 bit/s 3、AT89S51内部数据存储器的位地址空间的字节地址范围是20H-2FH ,对应的 位地址范围是00H-FFH。 4、单片机外部三大总线分别为数据总线、地址总线和控制总线。 5、AT89S51复位后,PC与SP的值为分别为0000H 和07H 6、执行如下三条指令后,30H单元的内容是#0EH M O V R 1,#30H M O V 40H,#0 E H M O V ﹫R 1,40H 7、MOV A,#40H 指令对于源作数的寻址方式是立即。 8、用串口扩展并行口时,串行接口工作方式应选为方式0。 9、当EA为低电平(接地)时,CPU只执行外部程序存储器或ROM 中的程序。 10、8051单片机有5个中断源,2个外部中断,2个定时器/计数器溢出 中断,及1个串行口中断。 二、选择题(本大题共10小题,每题2分,共20分) 1、以下指令写错的是( C ) A.MOV A,30H B. MOV DPTR,#2000H ,#1000H D. MOVX @DPTR,A 若两机的发送与接收可以同时进行,则称为( D )。 B. 单工传送 C. 双工传送 D. 全双工传 C ) B. PCON C. TMOD D. TCON ROM容量( A ) B. 8KB C. 128B D. 256B RAM的(D )单元。 B. 20H—7FH C. 00H—1FH D. 20H—2FH C ) B. 0013H C. 0023H D. 0033H MCS-51单片机程序存储器取数据时,采用的指令为( B )。 B. MOVC A, @A + DPTR A, @ R0 D. MOVX A, @ DPTR 6MHz,设定时器工作在方式1需要定时1ms,则定时 A )。 B.1000 C.216-500 D.216-1000 单片机在同一优先级的中断源同时申请中断时,CPU首先响应 0 B. 外部中断1 C. 定时器0中断 D. 定时器1中断 LED显示器,其中a笔段为字形代码的最低位,若需显示数 A )。 B. F9H C. 30H D. CFH 三、判断题(本大题共10小题,每题1分,共10分) 1、当EA脚接高电平时,对ROM的读操作只访问片外程序存储器。 CPU开中断,CPU才可能响应中断。(X ) RAM和外部RAM是统一编址的,它们的访问指令相同。(X ) 的跳转范围是2KB。(√) 装 订 线 《单片机》A卷第1页(共8页)《单片机》A卷第2页(共8页)

相关文档
相关文档 最新文档