文档库 最新最全的文档下载
当前位置:文档库 › 模拟电路课程设计报告

模拟电路课程设计报告

模拟电路课程设计报告
模拟电路课程设计报告

模拟电路课程设计报告设计课题:二阶高通滤波器的设计

专业班级:08电信(本)

学生姓名:杨琰

学号:080802039

指导教师:曾祥华

设计时间:2010年1月14日

二阶高通滤波器的设计

一、设计任务与要求

1)分别用压控电压源和无限增益多路反馈二种方法设计电路;

=200Hz;

2)截止频率f

c

3)增益Av=2;

4)用桥式整流电路滤波集成稳压块电路设计电路所需的正负直流电源(±

12V)。

二、方案设计与论证

由设计任务与要求可知,本实验设计电路分为两个部分,分别为直流源电路部分和功能电路部分,其中直流源部分要求输出端两极直流电压分别为+12V和-12V,而且要求其整流部分要采用桥式整流电路设计。功能电路部分要求分别用压控电压源和无限增益多路反馈二种方法设计,所以在焊接电路板时,要将两种设计方法的电路板都焊接出来,且其参数设计要符合:截止频率f

=200Hz和

c

增益Av=2 两个条件。

ⅰ.直流源电路部分

由以上设计要求可知,直流源整流部分要用桥式整流电路设计,后面连接用大容量电解电容做成的滤波电路,最后用集成稳压块W7812和W7912保证输出电压为±12V,在大容量电解电容和集成稳压块之间以及在集成稳压块和输出端之间,可以并联上一些小电容,以消除高次谐波和电路的交流成分。在输出端两极分别接上1K电阻和一个发光二极管,用来分别电路是否正常。

实验原理图如下所示:

ⅱ.功能电路部分

方案一:一阶有源高通滤波器电路实验电路图如下所示:

方案二:压控电压源二阶高通滤波电路

实验电路图如下所示:

对比方案一和方案二:一阶电路的过度带较宽,幅频特性的最大衰减斜率仅

而压控电压源二为-20dB/十倍频,而且此时的通带截至频率fp远离特征频率f

O ;

阶高通滤波电路中,其衰减斜率可达-40 dB/十倍频,电路过度带相对较窄,有利于滤波电路更好的实现滤波功能。而且电路中引入了负反馈,用以增大f

O

,使滤波特性趋于理近的电压放大倍数,使得通带截至频率fp接近特征频率f

O

想。电路中还引入了适当的正反馈,使得f

附近的电压放大倍数增大,又不会

O

因为正反馈过强而产生自激震荡。所以通过对比方案二具有比方案一更好的滤波特性,所以在实际焊接电路板时选择方案二。

方案三:三阶压控电压源高通滤波器

实验电路图如下所示:

方案四:无限增益多路反馈高通滤波电路

对比方案三和方案四:三阶压控电压源高通滤波器的幅频特性较好,最大衰减斜率可达-60 dB/十倍频,但是在该电路中有两级放大电路,最终的截至频率要依靠两级滤波电路的截至频率共同决定,所以受各级电路的影响较大,要在电路调试过程中达到静态平衡比较困难,而且电路中的偏置电流及其漂移均对电路具有一定的影响。在实际操作的焊接过程中,元器件较多使得电路的最终功能难以得到保证;无限增益多路反馈高通滤波电路中,幅频特性也相对较好,最大衰减斜率可达-40dB/十倍频,相对方案三中的电路,方案四中只有一级放大电路,并且该滤波电路不会因为通带放大倍数数值过大而产生自激振荡,电路中的运放可以看成理想运放,即可认为其增益无穷大,使得通带截至频率fp更加接近特,即使得电路幅频特性更好,而且电路中原器件较少,有利于实际操作征频率f

O

的焊接过程。所以通过对比方案四具有比方案三更好的滤波特性和实际使用价值,,所以在实际焊接电路板时选择方案四。

三、单元电路设计与参数计算

⒈直流源电路部分电路设计以及参数计算:

⑴整流电路部分电路图、形图及其参数设计:

变压器副边电压通过整流电路从交流电压转换为直流电压,即将正弦波电压转换为单一方向的脉动电压,单相桥式整流电路的输出波形如图所示。可以看出,它们均含有较大的交流分量,会影响负载电路的正常工作,所以为了减小电压的脉动,需要通过低通滤波电路滤波,使输出电压平滑。

整流元件参数:

在选择整流二极管时,主要考虑两个参数,即最大整流电流和反向击穿电压。在桥式整流电路中,二极管D1、D3和D2、D4是两两轮流导通的,所以流经每个二极管的平均电流为

在选择整流管时应保证其最大整流电流IF > ID 。

二极管在截止时管子两端承受的最大反向电压可以从桥式整流电路的工作原理中得出。在v2正半周时,D1、D3导通,D2、D4截止。此时D2、D4所承受的最大反向电压均为v2的最大值,

同理,在v2的负半周,D1、D3也承受到同样大小的反向电压。所以,在选择整流管时应取其反向击穿电压VBR > VRM 。

⑵滤波电路部分电路图、形图及其参数设计:

电容滤波电路输出电压平均值分析图:

当负载开路时: 当RL C =(3~5)T/2时:

考虑电网电压波动,电容的耐压值应大于

所以在选择电容时其耐压值应大于1.2U 2,电容越大越好,其级别应在千uF 以

上。

接入滤波电路理想情况下,应该将交流分量全部虑掉,使滤波电路的输出电压仅为直流电压。然而,于滤波电路为无源电路,所以接入负载后势必会影响其滤波效果,所以要通过稳压电路,使输出电压具有一定的稳定性. ⑶稳压电路部分:

交流电压通过整流、滤波电路后虽然变为交流分量较小的直流电压,但是当电网波动或者负载变化时,其平均值也将随之变化。稳压电路的功能就是使输出

)

41(22U U U 2Omin Omax AV O C R T U L -= 2

O(AV)2U U =2A V O U 2.1U ≈ 2AV O U 2.1U ≈)(

直流电压基本不受电网电压波动和负载电阻变化的影响,从而获得较高的稳定性。

元件参数:

由于本实验要产生±12V的恒流源,所以在选择集成块时选7812和7912。其中LM7812和LM7912的管脚示意图如下所示:

⒉功能电路部分电路设计以及参数计算:

⑴压控电压源二阶高通滤波电路

电路如图所示,其传输函数为:

2

12111221222

1

1)1(11)(R R C C s C R A C R C R s s A s A uo uo u +???? ??-+++=

2

22

c c

uo s Q

s s A ωω++

=

归一化的传输函数: 1

1)(2

++=

L L uo

L u s Q

s A s A

其中: s

s c

L ω=

,Q 为品质因数。

通带增益: 3

4

1R R A uo += 截止角频率: c c f C C R R πω212

121==

所以根据上述推导公式可得:电路设计时应该使得R 4/R 3=1, 根据市场能买到的器件,则可以取R 3=R 4=2K ,然后由截止角频率计算公式可以得出截止频率的计算公式,可以取C 1=C 2=0.1uF,R 1=10K,R 2用电位器调节,使得其等于6.339K 即可基本达到设计要求。

⑵无限增益多路负反馈二阶高通滤波器

电路如图所示,该电路的传输函数为:

2

132233212

22

2

11111)(R R C C C C C C C R s s C C s A u +?

??? ??+++

-

=

2

22

c c

uo s Q

s s A ωω++

=

归一化的传输函数: 1

1)(2

++=

L L uo

L u s Q

s A s A

其中: s

s c

L ω=

,通带增益: 3

1

C C A uo -

= 截止角频率:

c c f C C R R πω212

321==

以根据上述推导公式可得:电路设计时应该使得C 1/C 3=2,根据市场能买到的器件,则可以取C 1= C 3=0.44uF(用两个0.22 uF 的小电容并联而成),然后由截止角频率计算公式可以得出截止频率的计算公式,可以取C 2=0.44uF ,R 2=10K ,而R 1用10K 的电位器调节,使得其等于1.028K 即可基本达到设计要求。

四、总原理图及元器件清单

1.总原理图:

⑴桥式整流电容滤波集成稳压块正负直流电源电路

⑵压控电压源二阶高通滤波电路

⑶无限增益多路负反馈二阶高通滤波器

2.元件清单

五、安装与调试

1、安装

按照总原理图将元器件正确安装在电路板上,焊接时应注意布局要合理,电源电路由于元件少,线路简单,所以应力求保证连线正确,特别是桥堆,要分清交流输入端和直流输出,否则会引起变压器短路。分清7812、7819和三极管的管脚,并且不要虚焊。

2、调试步骤

⑴桥式整流电容滤波集成稳压块正负直流电源电路的调试步骤:

接通电源后,首先静态几分钟,如无异常,无怪味,方可进入正常测试。否则应断开220V电源,排除故障。

电路无故障,测量相应点波形,一般为变压器输出或桥堆输入交流波形及大小,整流滤波输出或稳压器输入波形及电压大小,最后输出电压大小及纹波电压大小,测量时在额载荷下进行,速度要快。

若要观察滤波电容变化对输出影响,可在断开电源后更换。

电路仿真波形图如下:

⑵功能电路部分调试步骤:

将安装焊接好的电路,按电路图用万用表调节好电位器在一定的阻值内,接通uA741集成块的电源及电路的地线,先静态几分钟,如无异常,无怪味,方可进入正常测试。

进入正常测试,首先将ui1和ui2接可调直流电源,用信号发生器调节输入合适的电压,然后用一个数字毫伏表表测输出电压uo,观察uo的大小,然后调节频率,使得输出的数据与输入端的数据符合设计要求,如若有错则应整改,然后再进行以上调试,直至得到想要的结果,调试要非常有耐心和细心,尽量调

节得到最理想的结果。

调节到理想的结果后,就可以进行对该电路的性能测试了。

⑴压控电压源二阶高通滤波电路

其仿真电路图如下:

幅频特性

相频特性:

⑵无限增益多路负反馈二阶高通滤波器

其仿真电路图如下:

幅频特性:

相频特性:

六、性能测试与分析

1.桥式整流电容滤波集成稳压块正负直流电源电路的测试及分析:

⑴测试的数据:

①变压器原边电压: U I=220V

②变压器的副边电压: U1=15V U2=15V U12=30.1V

③滤波后的电压: U+=+20V U_=-20.1V

④稳压器输入电压: U+=+20V U_=-20.1V

⑤稳压后的输出电压: Uo+=+12.01V Uo_=-12.05V ⑵数据及误差处理:

变压器副边:η

=|30.1-30|/30*100%=0.33%

1

滤波电压:η

=|20-20|/20*100%=0%

2

η3=|-20.1+20|/20*100%=0.5% 稳压电压:η4=|12.01-12|/12*100%=0.08%

η5=|-12.05+12|/20*100%=0.42% 2.高通滤波电路的测试及分析:

输入电压Ui=50m v

⑴测试的数据:

压控电压源二阶高通滤波电路

无限增益多路反馈高通滤波器电路

⑵数据处理

3.误差分析:

●在焊接直流源时,不能做到所有器件都做到绝对对称,使得最终直流源的输

出电压不对称。

●焊点存在毛刺,导致信号通过时存在一定的阻力,或出现虚焊。

●调试时,可调电位器不可能调到绝对理想的位置,导致结果存在偏差。

●实验用的元器件易受温度的影响,实验时间过长,即会产生误差。

●测试时电表内阻串入回路造成的误差。

●仪器的精度不够,无法精确的测出结果。

●信号发生器的输出信号不够稳定,使得输出信号也发生波动,读数时受到影

响,无法精确读出数据。

七、结论与心得

在本次实验课程设计中,我最大的收获就是其实在现实的环境中跟自己想象的环境是有一定差别的,在理想状态下计算的参数在实际的测试过程中可能会有很大的误差,甚至有可能根本就做不到结果。就比如我在做无限增益多路负反馈二阶高通滤波器电路的测试时发现,我焊接的电路板是好的,而且焊接的参数也是一步一步照这参考资料上的推导公式计算得到的,最后的基本功能是可以达到的,即具有高通特性,可是做出来的结果却总是有很大的误差,本来的要求是截止频率为200Hz,而我做出来的结果却是大于500 Hz。后来经过老师的指导才发现我的电路中使用的电解电容太小了,根据容抗计算公式可知,小电容对小信号的容抗趋近与无穷大,所以才会导致测试的误差偏大。后来我将原来用的0.1uF

的电容全部换成0.22uF的电容,后来再次测试时,虽然还是没有达到要求,但是误差已经大大减小了,所以我在次在原电路板上并联了一个0.22uF的电容,用以减小容抗,所以我的电路图中才会出现5个0.22uF的电容。而且我发现所谓的高通或者是低通电路只是相对的,由于受到器件和其他一些实际因素的影响,不可能做到完全的高通或是低通,而是在一个比较大的频率范围表现出来的幅频特性而已。所以以后做课程设计时要多进实验室,才能发现一些客观因素造成的误差或是错误,才能尽早的解决问题。

参考文献

1.童诗白华成英编《模拟电子技术基础》高等教育出版社

2.彭介华编《电子技术实验与课程设计》高等教育出版社

3. 青木英彦(日)著周难生译《模拟电路设计与制作》科学出版社

4. 王港元编《电工电子实践指导》江西科学技术出版社

5.《模拟电子技术基础实验与课程设计》

6.许素贞.杜群羊.吴海青等编《模拟电子技术基础与应用实例》..北京航空航天大学出版社

7.劳五一.劳佳. 编《模拟电子电路分析、设计与仿真》清华大学出版社

物理与电子信息学院模拟电路课程设计成绩评定表专业:电子信息工程班级:008电信本学号:080802039 姓名:杨琰

2010年1月14日

模拟电子技术课题总结报告

《电子技术Ⅱ》课程设计 总结报告 姓名 学号 院系 班级 指导教师 2012年06月

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节。课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题、解决问题的能力,对培养和造就应用型工程技术人才将起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成3个项目的电路设计和仿真。完成该次课程设计后,应达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计 和仿真结果。 三、模拟电路的设计和仿真 1、单管放大电路的设计和仿真 1)原理图如图1-1 图1-1

2)理论计算 静态分析:在仿真电路中接入三个虚拟数字万用表,分别设置为直流电流表或直流电压表,如图1-2所示: 图1-2 测得A R U V I b BEQ cc BQ μ41.43=-= mA I I BQ CQ 925.3=≈ V R I V U C CQ CC CEQ 979.5=-=

图1-3 3)仿真分析 图1-4 静态工作点值

4)对比理论与仿真 图1-5 当i U =9.998mV 时,0U =783.331mV,A I i μ48.10=,则 3.78998.9331.7830 -=-==???i u U U A Ω=Ω=Ω==954954.0481 .10998.9k k I U R i i i 电路中负载电阻L R 开路,虚拟表测得V U 567.1'0 =,则 Ω=Ω?-=-=k k R U U R L 004.33)1783 .0567.1()1(0'00 观察单管共射放大电路仿真后,可从虚拟示波器观察到ui 和u0的波形图如上图所示,图中波动幅度较小的是ui 波形,波动幅度较大的是u0波形。由图可见,u0的波形没有明显的非线性失真,而且u0与ui 的波形相位相反。相比仿真的值要比理论的小,可能是电路的连接或仪器的不稳定造成的。 2功率放大电路的设计和仿真 1)原理图

模拟电路课程设计

电子技术课程设计 所属学院:电气信息工程学院 姓名: 学号: 指导老师: 同组成员: 完成时间: 2016年1月16日

目录 (1)设计题目名称 (2)设计任务、技术指标和要求 (3)设计方案选择与论证 (4)总体电路的原理和功能框图(方案比较和说明)(5)功能块及单元电路的设计与主要参数计算,元器件选择和电路参数计算的说明等 (6)全部元器件、型号清单 (7)仿真过程波形和结果 (8)PCB底版布线图及说明(选择) (9)课程设计体会和收获

课程题目:波形发生器 一、设计目的 1.掌握运用模数电知识的应用能力 2.提高自身动手能力与实践能力 二、设计任务、技术指标及要求 1.设计任务 设计制作一台能产生方波、锯齿波、正弦波和三次正弦波的波形发生器。 2.技术指标 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±10V,失真度小于2%; ③方波幅值为10V; ④锯齿波峰-峰值为20V; ⑤各种波形幅值均连续可调; 3.设计要求 ①设计电路所需的直流电源; ②出集成运放、二极管、电阻、电容、电位器、转换开关等全部元件的清单;

三、设计方案选择与论证 (1)使用NE555芯片构成多谐振荡器,输出方波; (2)从NE555的THR 与THI 端引出信号接上一个比例放大器即可产生锯齿波,同时作为产生正弦波与三次正弦波的输入; (3)让锯齿波通过一个KHz f H 10=的二阶无源低通滤波器,通过滤波产生一次,8KHz 到10KHz 的正弦波; (4)让锯齿波通过一个24KHz~30KHz 的带通滤波器,输出三次正弦波。其中滤出三次谐波的理论依据是,由于锯齿波是一个关于t 的周期函数,并且满足狄利克里条件:在一个周期内具有有限个间断点,且在这些间断点上,函数是有限值;在一个周期内具有有限个极值点绝对可积,则有如下公式(*)成立。称为积分运算()t f 的傅里叶变换 ()()dt e t f w F jwt -∞ ∞ -? = (*) 根据欧拉公式2 cos 000t jw t jw e e t w -+= 就可以将锯齿波中的三次正弦波滤出来。

模拟电路课程设计心得体会

模拟电路课程设计心得 体会 内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)

精选范文:《模拟电路》课程设计心得体会(共2篇)本学期我们开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电路范畴,与我们的专业也都有联系,且都是理论方面的指示。正所谓“纸上谈兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践、探索是不够的,所以在本学期暨模电、数电刚学完之际,紧接着来一次电子电路课程设计是很及时、很必要的。这样不仅能加深我们对电子电路的任职,而且还及时、真正的做到了学以致用。这两周的课程设计,先不说其他,就天气而言,确实很艰苦。受副热带高气压影响,江南大部这两周都被高温笼罩着。人在高温下的反应是很迟钝的,简言之,就是很难静坐下来动脑子做事。天气本身炎热,加之机房里又没有电扇、空调,故在上机仿真时,真是艰熬,坐下来才一会会,就全身湿透,但是炎炎烈日挡不住我们求知、探索的欲望。通过我们不懈的努力与切实追求,终于做完了课程设计。在这次课程设计过程中,我也遇到了很多问题。比如在三角波、方波转换成正弦波时,我就弄了很长时间,先是远离不清晰,这直接导致了我无法很顺利地连接电路,然后翻阅了大量书籍,查资料,终于在书中查到了有关章节,并参考,并设计出了三角波、方波转换成正弦波的电路图。但在设计数字频率计时就不是那么一帆风顺了。我同样是查阅资料,虽找到了原理框图,但电路图却始终设计不出来,最后实在没办法,只能用数字是中来代替。在此,我深表遗憾!这次课程设计让我学到了很多,不仅是巩固了先前学的模电、数电的理论知识,而且也培养了我的动手能力,更令我的创造性思维得到拓展。希望今后类似这样课程设计、类似这样的锻炼机会能更多些!

模拟电子技术基础知识点总结

模拟电子技术复习资料总结 第一章半导体二极管 一.半导体的基础知识 1.半导体---导电能力介于导体和绝缘体之间的物质(如硅Si、锗Ge)。 2.特性---光敏、热敏和掺杂特性。 3.本征半导体----纯净的具有单晶体结构的半导体。 4.两种载流子----带有正、负电荷的可移动的空穴和电子统称为载流子。 5.杂质半导体----在本征半导体中掺入微量杂质形成的半导体。体现的是半导体的掺杂特性。*P型半导体:在本征半导体中掺入微量的三价元素(多子是空穴,少子是电子)。 *N型半导体: 在本征半导体中掺入微量的五价元素(多子是电子,少子是空穴)。 6.杂质半导体的特性 *载流子的浓度---多子浓度决定于杂质浓度,少子浓度与温度有关。 *体电阻---通常把杂质半导体自身的电阻称为体电阻。 *转型---通过改变掺杂浓度,一种杂质半导体可以改型为另外一种杂质半导体。 7. PN结 * PN结的接触电位差---硅材料约为0.6~0.8V,锗材料约为0.2~0.3V。 * PN结的单向导电性---正偏导通,反偏截止。 8. PN结的伏安特性 二. 半导体二极管 *单向导电性------正向导通,反向截止。 *二极管伏安特性----同PN结。 *正向导通压降------硅管0.6~0.7V,锗管0.2~0.3V。 *死区电压------硅管0.5V,锗管0.1V。 3.分析方法------将二极管断开,分析二极管两端电位的高低: 若V阳>V阴( 正偏),二极管导通(短路); 若V阳

2) 等效电路法 直流等效电路法 *总的解题手段----将二极管断开,分析二极管两端电位的高低: 若V阳>V阴( 正偏),二极管导通(短路); 若V阳

模拟电路课程设计题目

电子技术(模拟电路部分)课程设计题目 一、课程设计要求 1、一个题目允许两个人选择,共同完成电子作品,但课程设计报告必须各自独立完成。 2、课程设计报告按给定的要求完成,要上交电子文档和打印文稿(A4)。 3、设计好的电子作品必须仿真,仿真通过后,经指导老师检查通过后再进行制作。 4、电子作品检查时间:2010年3月4日,检查通过作品需上交。 4、课程设计报告上交时间:2010年5月20日前。 二、课程设计题目 方向一、波形发生器设计 题目1:设计制作一个产生方波-三角波-正弦波函数转换器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V,; ③方波幅值为2V; ④三角波峰-峰值为2V,占空比可调; ⑤设计电路所需的直流电源可用实验室电源。 题目2:设计制作一个产生正弦波-方波-三角波函数转换器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V,; ③方波幅值为2V; ④三角波峰-峰值为2V,占空比可调; ⑤设计电路所需的直流电源可用实验室电源。 题目3:设计制作一个产生正弦波-方波-锯齿波函数转换器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V,; ③方波幅值为2V; ④锯齿波峰-峰值为2V,占空比可调;

⑤设计电路所需的直流电源可用实验室电源。 题目4:设计制作一个方波/三角波/正弦波/锯齿波函数发生器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V; ③方波幅值为2V,占空比可调; ④三角波峰-峰值为2V; ⑤锯齿波峰-峰值为2V; ⑥设计电路所需的直流电源可用实验室电源。 方向二、集成直流稳压电源设计 题目1:设计制作一串联型连续可调直流稳压正电源电路。 设计任务和要求 ①输出直流电压1.5∽10V可调; ②输出电流I O m=300mA;(有电流扩展功能) ③稳压系数Sr≤0.05; ④具有过流保护功能。 题目2:设计制作一串联型连续可调直流稳压负电源电路。 设计任务和要求 ①输出直流电压1.5∽10V可调; ②输出电流I O m=300mA;(有电流扩展功能) ③稳压系数Sr≤0.05; ④具有过流保护功能。 题目3:设计制作一串联型二路输出直流稳压正电源电路。 设计任务和要求 ①一路输出直流电压12V;另一路输出5-12V连续可调直流稳压电源; ②输出电流I O m=200mA; ③稳压系数Sr≤0.05;

模拟电子线路实验实验报告

模拟电子线路实验实验 报告 Document number:NOCG-YUNOO-BUYTT-UU986-1986UT

网络高等教育 《模拟电子线路》实验报告 学习中心:浙江建设职业技术学院奥鹏学习中心层次:高中起点专科 专业:电力系统自动化技术 年级: 12 年秋季 学号: 学生姓名:

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方 法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz连续可调; ③幅值调节范围:0~10V P-P连续可调; ④波形衰减:20dB、40dB; ⑤带有6位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 3.试述使用万用表时应注意的问题。

使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。 如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。 4.试述TDS1002型示波器进行自动测量的方法。 按下“测量”按钮可以进行自动测量。共有十一种测量类型。一次最多可显示五种。 按下顶部的选项按钮可以显示“测量1”菜单。可以在“信源”中选择在其上进行测量的通道。可以在“类型”中选择测量类型。 测量类型有:频率、周期、平均值、峰-峰值、均方根值、最小值、最大值、上升时间、下降时间、正频宽、负频宽。 三、预习题 1.正弦交流信号的峰-峰值=_2__×峰值,峰值=__根号2__×有效值。 2.交流信号的周期和频率是什么关系 两者是倒数关系。 周期大也就是频率小,频率大也就是周期长

电子技术课程设计题目

电子技术课程设计一、课程设计目的: 1.电子技术课程设计是机电专业学生一个重要实践环节,主要让学生通过自己设计并制作一个实用电子产品,巩固加深并运用在“模拟电子技术”课程中所学的理论知识; 2.经过查资料、选方案、设计电路、撰写设计报告、答辩等,加强在电子技术方面解决实际问题的能力,基本掌握常用模拟电子线路的一般设计方法、设计步骤和设计工具,提高模拟电子线路的设计、制作、调试和测试能力; 3.课程设计是为理论联系实际,培养学生动手能力,提高和培养创新能力,通过熟悉并学会选用电子元器件,为后续课程的学习、毕业设计、毕业后从事生产和科研工作打下基础。 二、课程设计收获: 1.学习电路的基本设计方法;加深对课堂知识的理解和应用。 2.完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡; 3.学会设计报告的撰写方法。 三、课程设计教学方式: 以学生独立设计为主,教师指导为辅。 四、课程设计一般方法 1. 淡化分立电路设计,强调集成电路的应用 一个实用的电子系统通常是由多个单元电路组成的,在进行电子系统设计时,既要考虑总体电路的设计,同时还要考虑各个单元电路的选择、设计以及它们之间的相互连接。由于各种通用、专用的模拟、数字集成电路的出现,所以实现一个电子系统时,根据电子系统框图,多数情况下只有少量的电子电路的参数计算,更多的是系统框图中各部分电子电路要正确采用集成电路芯片来实现。 2. 电子系统内容步骤: 总体方案框图---单元电路设计与参数计算---电子元件选择---单元电路之间连接---电路搭接调试---电路修改---绘制总体电路---撰写设计报告(课程设计说明书) (1)总体方案框图: 反映设计电路要求,按一定信息流向,由单元电路组成的合理框图。 比如一个函数发生器电路的框图: (2)单元电路设计与参数计算---电子元件选择: 基本模拟单元电路有:稳压电源电路,信号放大电路,信号产生电路,信号处理 电路(电压比较器,积分电路,微分电路,滤波电路等),集成功放电路等。 基本数字单元电路有:脉冲波形产生与整形电路(包括振荡器,单稳态触发器,施密特触发器),编码器,译码器,数据选择器,数据比较器,计数器,寄存器,存储器等。 为了保证单元电路达到设计要求,必须对某些单元电路进行参数计算和电子元件 选择,比如:放大电路中各个电阻值、放大倍数计算;振荡电路中的电阻、电容、振荡频率、振荡幅值的计算;单稳态触发器中的电阻、电容、输出脉冲宽度的计 算等;单元电路中电子元件的工作电压、电流等容量选择。

模拟电子技术课程设计报告

电子技术 课程设计报告 班级:电科1402 姓名:宋晓晨 学号:3140504043 指导教师:汪洋 2015 至2016 学年第二学期开课时 间:

目录 一、课程设计的目的 (3) 二、课程设计的要求 (3) 三、课程设计的内容 (6) 3.1.步进电动机三相六状态控制逻辑电路 (1) 3.2.具有校时功能的数字闹钟 3.3.洗衣机控制器 3.4.音频小信号前置放大电路 3.5.信号发生器设计 3.6.二阶RC有源滤波器设计 四、总结 (24) 五、参考文献 (25)

一、课程设计的目的 电子技术课程设计是继《模拟电子技术基础》、《数字电子技术基础》、《电子技术基础实验》课程后的一门实践性训练课程,旨在通过一周实践,理解电子设计基本原理,完整实现规定选题项目设计,考查学生运用电子技术基础理论完成综合设计的能力。 二、课程设计的要求 2.1、步进电动机三相六状态控制逻辑电路设计一个控制步进电机用的逻辑电路,使其工作于如图1所示的三相六拍状态。如果用“1”表示线圈通电,“0”表示线圈断电,设正转时控制输入端M=1,反转时M=0,则3个线圈ABC的状态转换图如图 2.2、具有校时功能的数字闹钟要求: (1)数字钟以一昼夜24小时为一计时周期;(2)有“时”、“分”数字显示,“秒”信号。驱动LED显示光点,将“时”、“分”隔开,显示情况如图3所示;(3)具校时功能,即:在需要时,用户可将数字钟拨至标准时间或其它所需时间;(4)在“0~23”小时内任意小时、任意分钟可有控制地起闹,每次起闹时间为3~5秒钟,或按使用者需要调定。

2.3、设计一个洗衣机控制器要求洗衣机实现如下运转(1)定时启动—〉正转20秒—〉暂停10秒—〉反转20秒—〉暂停10秒—〉定时不到,重复上面过程。(2)若定时到,则停止,并发出音响信号。(3)用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由开始信号开始。(4)三只LED灯表示正转、反转、暂停三个状态。 2.4、音频小信号前置放大电路设计 设计音频小信号前置放大电路,并用合适软件模拟,。具体要求如下:(1)放大倍数Au≥1000; (2)通频带20Hz~20KHz; (3)放大电路的输入电阻RI≥1M,输出电阻RO=600 (4)绘制频响扫描曲线。 说明:设计方案和器件根据题目要求自行选择,但要求在通用器件范围内。 测试条件:技术指标在输入正弦波信号峰峰值Vpp=10mv的条件进行测试(输入输出电阻通过设计方案保证)。 2.5、信号发生器设计 设计一个能够输出正弦波、三角波和矩形波的信号源电路,电路形式自行选择。输出信号的频率可通过开关进行设定,具体要求如下:1输出信号的频率范围为100Hz~2kHz,频率稳定度较高,2步进为100Hz。要求输出是正

模电总结复习资料-免费-模拟电子技术基础

模电总结复习资料-免费-模拟电子技术基础(总22页) 本页仅作为文档页封面,使用时可以删除 This document is for reference only-rar21year.March

第一章半导体二极管 一.半导体的基础知识 1.半导体---导电能力介于导体和绝缘体之间的物质(如硅Si、锗Ge)。 2.特性---光敏、热敏和掺杂特性。 3.本征半导体----纯净的具有单晶体结构的半导体。 4. 两种载流子----带有正、负电荷的可移动的空穴和电子统称为载流子。 5.杂质半导体----在本征半导体中掺入微量杂质形成的半导体。体现的是半导体的掺杂特性。 *P型半导体:在本征半导体中掺入微量的三价元素(多子是空穴,少子是电子)。 *N型半导体: 在本征半导体中掺入微量的五价元素(多子是电子,少子是空穴)。 6. 杂质半导体的特性 *载流子的浓度---多子浓度决定于杂质浓度,少子浓度与温度有关。 *体电阻---通常把杂质半导体自身的电阻称为体电阻。 *转型---通过改变掺杂浓度,一种杂质半导体可以改型为另外一种杂质半导体。 7. PN结 * PN结的接触电位差---硅材料约为0.6~0.8V,锗材料约为0.2~0.3V。 * PN结的单向导电性---正偏导通,反偏截止。 8. PN结的伏安特性 二. 半导体二极管 *单向导电性------正向导通,反向截止。 *二极管伏安特性----同PN结。 *正向导通压降------硅管0.6~0.7V,锗管0.2~0.3V。 *死区电压------硅管0.5V,锗管0.1V。 3.分析方法------将二极管断开,分析二极管两端电位的高低: 若 V阳 >V阴( 正偏 ),二极管导通(短路); 若 V阳

模拟电子技术课程设计报告

课程设计报告 题目方波、三角波、正弦波信号 发生器设计 课程名称模拟电子技术课程设计 院部名称机电工程学院 专业10自动化 班级10自动化 学生姓名吉钰源 学号1004104001 课程设计地点 C206 课程设计学时 1周 指导教师赵国树 金陵科技学院教务处制成绩

目录 1、绪论 (3) 1.1相关背景知识 (3) 1.2课程设计目的 (3) 1.3课程设计的任务 (3) 1.4课程设计的技术指标 (3) 2、信号发生器的基本原理 (4) 2.1总体设计思路 (4) 2.2原理框图 (4) 3、各组成部分的工作原理 (5) 3.1 正弦波产生电路 (5) 3.1.1正弦波产生电路 (5) 3.1.2正弦波产生电路的工作原理 (6) 3.2 正弦波到方波转换电路 (7) 3.2.1正弦波到方波转换电路图 (7) 3.2.2正弦波到方波转换电路的工作原理 (8) 3.3 方波到三角波转换电路 (9) 3.3.1方波到三角波转换电路图 (9) 3.3.2方波到三角波转换电路的工作原理 (10) 4、电路仿真结果 (11) 4.1正弦波产生电路的仿真结果 (11) 4.2 正弦波到方波转换电路的仿真结果 (11) 4.3方波到三角波转换电路的仿真结果 (13) 5、电路调试结果 (13) 5.1正弦波产生电路的调试结果 (13) 5.2正弦波到方波转换电路的调试结果 (14) 5.3方波到三角波转换电路的调试结果 (14) 6、设计结果分析与总结 (15)

1、绪论 1.1相关背景知识 由于物理学的重大突破,电子技术在20世纪取得了惊人的进步。特别是近50年来,微电子技术和其他高技术的飞速发展,致使农业、工业、科技和国防等领域发生了令人瞩目的变革。与此同时,电子技术也正在改变着人们日常生活。在电子技术中,信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途,可以用于生产测试、仪器维修和实验室,还广泛使用在其它科技领域,如医学、教育、化学、通讯、地球物理学、工业控制、军事和宇航等。它是一种不可缺少的通用信号源。 1.2课程设计目的 通过本次课程设计所要达到的目的是:增进自己对模拟集成电路方面所学知识的理解,提高自己在模拟集成电路应用方面的技能,树立严谨的科学作风,培养自身综合运用理论知识解决实际问题的能力。通过电路设计初步掌握工程设计方法,逐步熟悉开展科学实践的程序和方法,为后续课程的学习和今后从事的实际工作提供引导性的背景知识,打下必要的基础。 1.3课程设计的任务 ①设计一个方波、三角波、正弦波函数发生器; ②能同时输出一定频率一定幅度的三种波形:正弦波、方波和三角波; ③用±12V电源供电; 先对课程设计任务进行分析,及根据参数的确定选择出一种最适合本课题的方案。在达到课题要求的前提下保证最经济、最方便、最优化的设计策略。然后运用仿真软件Multisim对电路进行仿真,观察效果并与课题要求的性能指标作对比。仿真成功后,用实物搭建电路,进行调试,观测示波器输出的波形。 1.4课程设计的技术指标 ①设计、组装、调试信号发生器; ②输出波形:正弦波、方波、三角波; ③频率范围在10Hz~10000Hz范围内可调; ④比较器用LM339,运算放大器用LM324,双向稳压管用两个稳压管代替。

模拟电子课程设计仿真

1、集成运放的应用电路 (1)参考电路图如下: (2)应用仿真库元件,3D元件分别进行仿真,熟悉示波器的使用2、电流/电压(I/V)转换器的制作与调试 (1)参考电路图如下:

(2)要求将0~10毫安电流信号转换成0~10伏电压信号。(3)分析电路的工作过程,完成制作与调试。 (4)填写下表,分析结果。 3、电压/电流(V/I)转换器的制作与调试(1)参考电路图如下: (2)要求将0~10伏电压信号转换成0~10毫安电流信号。(3)分析电路的工作过程,完成制作与调试。 (4)填写下表,分析结果。

4、电子抢答器制作 (1)参考电路图如下: (2)电路的工作原理: 本电路使用一块时基电路NE555,其高电平触发端6脚和低电平触发端2脚相连,构成施密特触发器,当加在2脚和6脚上的电压超2/3V CC时,3脚输出低电平,当加在2脚和6脚上的电压低于1/3V CC时,3脚输出高电平。按下开关SW,施密特触发器得电,因单向可控硅SCR1~SCR4的控制端无触发脉冲,SCR1~SCR4关断,2脚和6脚通过R1接地而变为低电平,所以3脚输出高电平,绿色发光二极管LED5发光,此时抢答器处于等待状态。 K1~K4为抢答键,假如K1最先被按下,则3脚的高电平通过K1作用于可控硅SCR1的控制端,SCR1导通。红色发光二极管LED1发光,+9V电源通过LED1和SCR1作用于NE555的2脚和6脚,施密特触发器翻转,3脚输出低电平,LED5熄灭。因3脚输出为低电平,所以此后按下K2~K4时,SCR2~SCR4不能获得触发脉冲,SCR2~SCR4维持关断状态,LED2~LED4不亮,LED1独亮说明按K1键者抢先成功,此后主持人将开关SW起落一次。复位可控硅,LED1熄灭,LED5亮,抢答器又处于等待状态。 220V市电经变压器降压,VD1~VD4整流,C滤波,为抢答器提供+9V直流电压。VD1~VD4选IN4001,C选用220μF/15V。R1和R2选1KΩ,LED1~LED4选红色发光二极管,LED5选绿色发光二极管。SW为拨动开关,K1~K4为轻触发开关,单向可控硅选2P4M,IC 为NE555。 (3)完成电路的制作与调试。 5、交替闪光器的制作与调试 (1)参考电路图如下:

福州大学模拟电路课程设计报告

模拟电路课程设计报告 设计课题:程控放大器设计 班级:电子科学与技术 姓名:1111111 学号:1111111 指导老师:杨 设计时间:2015年6月24日~26日 学院:物理与信息工程学院

目录 一、摘要及其设计目的 (3) 二、设计任务和要求 (4) 三、方案论证及设计方案 (5) 四、单元电路的设计、元器件选择和参数计算 (8) 五、总体电路图,电路的工作原理 (10) 六、组装与调试,波形电路实际图及数据 (12) 七、所用元器件及其介绍 (16) 八、课程设计心得与体会 (18)

一、摘要 本次课程设计的目的是通过设计与实验,了解实现程控放大器的方法,进一步理解设计方案与设计理念,扩展设计思路与视野。程控放大器的组成结构:1.利用3个运放OP07构成的耳机放大电路;2.芯片CD4051八位的选择器通过片选端的控制调节R1电阻值的大小,从而改变放大倍数。实现最大放大60db的目的。 A summary The purpose of this course design is to design and experiment, to understand the method of program control amplifier, to further understand the design scheme and design concept, to expand the design idea and the visual field. The structure of programmable amplifier: 1. The three operational amplifier OP07 constitute the headset amplifier circuit; chip CD4051 eight selector through the chip selection terminal control regulating resistor R1 value of size, thus changing the magnification. The purpose of achieving maximum amplification of 60db.

模拟电路课程设计..

模拟电子技术课程设计任务书 一、课程设计的任务 通过理论设计和实物制作解决相应的实际问题,巩固和运用在《模拟电子技术》中所学的理论知识和实验技能,掌握常用模拟电路的一般设计方法,提高设计能力和实践动手能力,为以后从事电子电路设计、研发电子产品打下良好的基础。 二、课程设计的基本要求 1、掌握电子电路分析和设计的基本方法。包括:根据设计任务和指标初选电路;调查研究和设计计算确定电路方案;选择元件、安装电路、调试改进;分析实验结果、写出设计总结报告。 2、培养一定的自学能力、独立分析问题的能力和解决问题的能力。包括:学会自己分析解决问题的方;对设计中遇到的问题,能通过独立思考、查询工具书和参考文献来寻找解决方案,掌握电路测试的一般规律;能通过观察、判断、实验、再判断的基本方法解决实验中出现的一般故障;能对实验结果独立地进行分析,进而做出恰当的评价。 3、掌握普通电子电路的生产流程及安装、布线、焊接等基本技能。 4、巩固常用电子仪器的正确使用方法,掌握常用电子器件的测试技能。 5、通过严格的科学训练和设计实践,逐步树立严肃认真、一丝不苟、实事求是的科学作风,并逐步建立正确的生产观、经济观和全局观。

三、课程设计任务 课题4 逻辑信号电平测试器的设计 (一)设计目的 1、学习逻辑信号电平测试器的设计方法; 2、掌握其各单元电路的设计与测试方法; 3、进一步熟悉电子线路系统的装调技术。 (二)设计要求和技术指标 在检修数字集成电路组成的设备时,经常需要使用万用表和示波器对电路中的故障部位的高低电平进行测量,以便分析故障原因。使用这些仪器能较准确地测出被测点信号电平的高低和被测信号的周期,但使用者必须一面用眼睛看着万用表的表盘或者示波器的屏幕,一面寻找测试点,因此使用起来很不方便。 本课题所设计的仪器采用声音来表示被测信号的逻辑状态,高电平和低电平分别用不同声调的声音来表示,使用者无须分神去看万用表的表盘或示波器的荧光屏。 1、技术指标: (1)测量范围:低电平<1V,高电平>3V; (2)用1.5KH Z的音响表示被测信号为高电平; (3)用500H Z的音响表示被测信号为低电平;

模拟电子技术总结.

第一章、半导体 三极管的输入电阻Rbe 其中IE = (1+β)IB Rb’e = UT/IB (常温下UT=26mV ) 三极管的混合π模型 等效为 三极管工作状态

放大状态(发射结正偏,集电结反偏) 饱和状态(发射结正偏,集电结正偏) 截止状态(发射结反偏/0偏) 小结: BJT 由两个PN结组成,电流控制是它的主要特征。 BJT 具有放大作用的内部结构条件是: i.e区掺杂浓度要远大于b区掺杂浓度; ii.基区必须很薄。 外部条件是:e结正偏,c结反偏。 BJT 中三个电极电流关系 以i E为自变量时以i B为自变量时 三极管特征曲线表示其各级电流与各级间电压之间的定量关系 输入特征曲线玉二极管正向特征曲线相似。C结电压对输入特征曲线有一定影响,但C结为反向偏置时,这种影响很小,通常用一条曲线表示。 输出特征曲线可划分为三个区:饱和区;截至区;放大区。 放大电路中的三极管应工作在放大区。

三极管参数 β说明放大能力; I CBO、I CEO大小反映了其温度稳定性; f T、f B表示三极管的高频放大能力; I CM、BV CEO、P CM规定了管子工作时不允许超出的极限范围。

第二章、基本放大电路 放大器实质上是能量转换器,以较小的输入信号能量通过放大器件控制直流电源的能量,使之转换成较大的输出信号能量,为负载所获得。 1.对放大电路的要求 能放大:输出信号应大于输入信号(u,或i,或p) 不失真:输出应与输入呈线性关系,为使器件工作在线性放大区,必须加上合适的直流偏置。 2.放大电路中的至流量和交流量 3.两种器件对应两种放大电路 (BJT 和FET 放大电路) BJT 在放大电路中有共射、共集和共基三种组态 FET 在放大电路中有共源、共漏和共栅三种组态 4.放大电路的分析方法(图解法、微变等效电路法) 5.放大电路的性能指标 直流:静态工作点Q ; C CQ CC CEQ BQ CQ b BEQ BB BQ R I -V =U βI =I R U -V = I

电子技术基础课程设计题目

《电子技术基础》课程设计题目 一、脚步声控制照明灯 要求:1.白天光线较强,照明灯不会点亮; 2.晚上又脚步声照明灯被点亮,脚步声小时后灯亮延时十秒再自动熄灭; 3.元件:功率集成电路家分立元件; 二、报警声响发生器 要求:1.能发出消防车报警,救护车报警灯的报警声; 2.输出功率≥1W 要求:1.当池中水位低于设定点时水泵自动抽水;; 3.元件:NE555时基电路加分立元件; 三、水位控制器 2.当水位到达设定点时水泵自动停止; 3.元件:NE555电路加分立元件; 4.说明:水泵工作可用灯泡亮灭进行模拟; 四、金属探测器; 要求:1.能探测木材中≥5mm深处的残留铁钉; 2.当探测到金属物时能用声或光报警; 3.元件:与非们加分立元件,探头可用带铁芯线圈自制; 五、循环灯 要求:1.有四路输出,单循环; 2.能带动6V小灯泡四只; 3.元件:J-K触发器、555时基电路、分立元件; 六、数字水位探测器 要求:1.能测出水位的高度,精度韦1/16; 2.能输出数字形式(即二进制); 3.能以模拟电压输出; 七、直流电压升压器 要求:1.输入电压30V;输出电压45V; 2.输出电流能达到0.5A; 八、上下课铃声识别系统 要求:1.设计一个开关电路仅对学校的上课、下课铃声敏感; 2.铃声来时输出高电平; 3.能识别出上课铃声和下课铃声; 九、厕所冲水控制器 要求:1.能识别有无人进出厕所; 2.当进出人数每达6人次时,电路输出一个脉冲; 十、步进电机及启动电路 要求:1.利用数电知识设计一个步进电机驱动电路; 2.能由两根线的输入电平组合使电机能向前进、后退、保持; 十一.教室用电节能控制电路

模拟电子技术课程设计报告

课程设计 重庆科技学院 模拟电子技术课程设计成果 院(系):_电子信息工程学院_班级:自普本2008— 01 学生姓名:_袁小敏___________ 学号:_2008440910 _________ 设计地点(单位)1404 _________________ 设计题目: ___________________________________________ 完成日期:2010 年7月9 日 指导教师评语:__________________________________________ 成绩(五级记分制): _______________ 教师签名: __________________________

一、........................................................................ 设计任务和指标要求. (3) 二、............................................................ 设计框图及整机概述3 三、................................................ 各单元电路的设计方案及原理说明4 四、........................................................ 仿真调试过程及结果分析7 五、.................................................... 设计、安装及调试中的体会8 六、.................................................... 对本次课程设计的意见及建议9 七、...................................................................... 参考资料10 八、.......................................................................... 附录11 附件1 整机逻辑电路图 (11) 附件2 元器件清单 (12)

模拟电子课程设计课设传感器测量系统

模拟电子技术课程设计任务书 姓名:院(系):信息系 专业:班级: 课程设计题目:传感器测量系统的设计 课程设计要求:设计一个放大器系统,当电阻值变化±1%时,放大电路能够产生±6V的输出电压。要求偏差为0时输出为0,偏差为1%时输出为6V,偏差为-1%时输出为-1V,误差不超过±2%。 设计任务总述:对设计题目进行分析,根据设计的要求先确定基准电压源:为测量电桥提供一定精度要求的7.0V基准电压,然后修改电路,进行参数计算.,测量当电阻值变化±1%时,放大电路能够产生±6V的输出电压;要求偏差为0时输出为0,偏差为1%时输出为6V,偏差为-1%时输出为-6V,误差不超过±2%;最后电路仿真实验。 工作计划及安排: 熟悉课题要求,查找相关资料;甄选资料的相关内容,初步确定设计方案;寻找参考电路,修改电路,进行参数计算.调试(仿真),如不成功,返回第2步整理数据; 撰写课程设计报告。 成绩 指导教师签字___________________ 年月日

摘要: 设计一个放大器系统,当电阻值变化±2%时,放大电路能 够产生±8V 的输出电压。要求偏差为0时输出为0,偏差为2%时输出为8V ,偏差为-2%时输出为-8V ,误差不超过±5%。 一、电路结构及原理说明: 该电路由四部分组成:基准电压源电路、测量电桥电路、放大电路、电平转移电路。 电路框图如下所示: 1.基准电压源:为测量电桥提供一定精度要求的7.5V 基准电压,采用5.6V 稳压管与同相比例运算电路结合实现。 2.测量电桥电路:当电桥的所有阻值都相同时,输出电压为零。当有一电阻发生变化时将会有电压输出。此电路可以等效为传感器测量电路,测取的温度变化量并将其转化成电压变化。 3.放大电路: 放大电路用于将测温桥输出的微小电压变化(ΔV )放大,使其满足性能要求。放大电路采用两个同相电压跟随器(作为输入缓冲器)与两级放大器组成,其中第一级放大器为差动放大器,第二级放大器为可以方便调节的反相比例运算电路。 4.电平转移电路: 二、测量电路和参数计算 基准电压源 测量电桥 放大电路 电平转移电路

模拟电路总结

32.模拟电子电路总结 ①伏安特性曲线,二极管开启电压为0.7V/0.2V,环境温度升高后,二极管正向特性曲线左移,方向特性曲线下移。 ②晶体管工作在放大区的外部条件是发射结正向偏置且集电结反向偏置。 ③共射特性曲线:输入特性曲线和输出特性曲线。Uce增大时,曲线右移。 截止区、放大区、饱和区。 ④结型场效应管U GS(off)和绝缘栅型场效应管U GS(th)。 夹断区、恒流区、可变电阻区。 ⑤静态工作点设置为保证:一、放大不失真二、能够放大。 两种共射放大电路:直接耦合、阻容耦合。 放大电路分析方法:直流通路求静态工作点,交流通路求动态参数。截止失真,饱和失真。等效电路。 Re直流负反馈。晶体管单管三种接法:共射、共基、共集。 共射:既放大电流又放大电压。输入电阻居中,输出电阻较大,频带窄。多用于低频放大电路。 共基:只放大电压不放大电流。输入电阻小,电压放大和输出电阻与共射相当。频率特性最好。 共集:只放大电流不放大电压。输入电阻最大,输出电阻最小,具有电压跟随特性。用于放大电路的输入级和输出级。 场效应管;

基本共源放大电路、自给偏压电路、分压式偏置电路。 多级电路耦合方式: 直接耦合:良好的低频特性,可放大变化缓慢的信号。 阻容耦合:各级电路静态工作点独立,电路分析、设计、调试简单。有大电容的存在不利于集成化。 变压器耦合:静态工作点独立,不利于集成化,可实现阻抗变换,在功率放大中得到广泛的应用。 零点漂移和温度漂移 抑制温漂的方法:引入直流负反馈、采用温度补偿,电路中二极管。差分放大电路。 差分放大电路中共模抑制比。 互补对称输出电路。 集成运放电路的组成: 输入级:双端输入的差分放大电路,输入电阻高,差模放大倍数大,抑制共模能力强,静态电流小。 中间级:采用共射(共源)放大电路,为提高放大倍数采用复合管放大电路,以恒流源做集电极负载。 输出级:输出电压线性范围宽、输出电阻小(带负载能力强)非线性失真小。多互补对称输出电路。 集成运放频率补偿:一、滞后补偿1.简单电容补偿2.密勒效应补偿二、超前补偿 放大电路中反馈特性

13级《模拟电路课程设计》设计课题与要求

13级《模拟电路课程设计》设计课题与要求 一、设计课题 设计课题1、直流稳压电源 (输入电压为220V,50Hz市电,输出为直流稳定电压)。 A:分立元件方式 技术要求:额定输出电压:12v,10-14v连续可调;额定输出电流1.5A;。 输出电阻不大于0.5Ω; 满载纹波峰峰值小于60mv; 稳压系数Sv≤3×10-3; 主要测量内容:最大输出电流,输出电阻,纹波峰峰值,稳压系数,电压调整率。 B:集成稳压方式(不可使用可调三端器件) 技术要求:额定输出电流2A; 额定输出电压:12V,10-14v连续可调; 保护电路(过热、过流、过压); 满载纹波峰峰值小于60mv; 输出电阻不大于0.5Ω; 稳压系数Sv≤3×10-3; 主要测量内容:最大输出电流,输出电阻,纹波峰峰值,稳压系数,电压调整率。 设计课题2、音响放大器(简单音频通带放大电路)(输入语音信号-麦克风)注:功放电路原则上不使用功放集成电路。 技术要求:前置放大、功放:输入灵敏度不大于10mV,f L≤500Hz,f H≥20kHz; 有音量控制功能; 额定输出功率P O≥5W(测试频率:1kHz); 负载:扬声器(8Ω、5W)。 主要测量内容:最大输出功率,输出电阻,输入灵敏度,f L,f H。 设计课题3、信号发生器 技术要求:产生三种波型(方波,三角波,正弦波) 频率范围:0~100KHz; 输出内阻:不大于50Ω; 负载50Ω时输出电压不小于5V; (加功放时可使用集成功放电路1W) 主要测量内容:输出信号频率范围,输出电阻,输出功率。 二、要求 1、每位同学至少完成一个设计课题的原理图和参数设计、Multisim软件仿真与作品Protel 电路板制作,最终完成产品制作以及调试,提交一份课题的设计与测试报告(包括电子版和打印件),课题设计与设计报告的主要内容包括电路图、设计与计算过程、测试数据与分析等。 2、有能力的同学可以完成多个设计课题。 3、依据作品现场测试的指标评定课程成绩。

相关文档
相关文档 最新文档