文档库 最新最全的文档下载
当前位置:文档库 › 数电复习题(含答案)

数电复习题(含答案)

数电复习题(含答案)
数电复习题(含答案)

数 电 复 习 题

选择题:

1.下列四个数中,与十进制数(163)10不相等的是( D )

A 、(A3)16

B 、(10100011)2

C 、(000101100011)8421BC

D D 、(203)8

2.N 个变量可以构成多少个最小项( C )

A 、N

B 、2N

C 、2N

D 、2N -1

3.下列功能不是二极管的常用功能的是( C )

A 、检波

B 、开关

C 、放大

D 、整流

4..将十进制数10)18(转换成八进制数是 ( B )

A 、20

B 、22

C 、21

D 、23

5.译码器的输入地址线为4根,那么输出线为多少根( C )

A 、8

B 、12

C 、16

D 、20

6.能把正弦信号转换成矩形脉冲信号的电路是(D )

A 、多谐振荡器

B 、D/A 转换器

C 、JK 触发器

D 、施密特触发器

7.三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 ( A )

A 、m2

B 、 m5

C 、m3

D 、 m7

8.用PROM 来实现组合逻辑电路,他的可编程阵列是( B )

A 、与阵列

B 、或阵列

C 、与阵列和或阵列都可以

D 、以上说法都不对

9.A/D 转换器中,转换速度最高的为( A )转换

A 、并联比较型

B 、逐次逼近型

C 、双积分型

D 、计数型

10.关于PAL 器件与或阵列说法正确的是 ( A )

A 、 只有与阵列可编程

B 、 都是可编程的

C 、 只有或阵列可编程

D 、 都是不可编程的

11. 当三态门输出高阻状态时,输出电阻为 ( A )

A 、无穷大

B 、约100欧姆

C 、无穷小

D 、约10欧姆

12为使采样输出信号不失真地代表输入模拟信号,采样频率

f s 和输入模

拟信号的最高频率

f ax Im 的关系是(C ) A 、 f s ≥

f ax Im B 、f s ≤f ax Im C 、f s ≥2f ax Im D 、 f s ≤2f ax Im

13. 下列说法不正确的是( C )

A .集电极开路的门称为OC 门

B .三态门输出端有可能出现三种状态(高阻态、高电平、低电平)

C .OC 门输出端直接连接可以实现正逻辑的线或运算

D.利用三态门电路可实现双向传输

14. 以下错误的是( B )

A .数字比较器可以比较数字大小

B .实现两个一位二进制数相加的电路叫全加器

C .实现两个一位二进制数和来自低位的进位相加的电路叫全加器

D .编码器可分为普通全加器和优先编码器

15. 下列描述不正确的是(A )

A .触发器具有两种状态,当Q=1时触发器处于1态

B .时序电路必然存在状态循环

C .异步时序电路的响应速度要比同步时序电路的响应速度慢

D .边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象

16.离散的,不连续的信号,称为( B )。

A .模拟信号 B.数字信号

17.组合逻辑电路通常由( A )组合而成。

A .门电路 B.触发器 C.计数器

18.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。

A .111 B.010 C.000 D.101

19.十六路数据选择器的地址输入(选择控制)端有( C )个。

A .16 B.2 C.4 D.8

20.一位8421BCD 码译码器的数据输入线与译码输出线的组合是( C )。

A .4:6 B.1:10 C.4:10 D.2:4

21.函数()B A A F ⊕=的结果是 ( C )

A.AB

B. B A

C.B A

D. B A

22.ROM 属于( A )。

A.组合逻辑电路 B.时序逻辑电路

23.有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP作用下,四位数据的移位过程是( A )。

A.1011--0110--1100--1000—0000

B.1011--0101--0010--0001—0000

24. 一个二进制序列检测电路,当输入序列中连续输入5位数码均为1时,电路输出1,则同步时序电路最简状态数为( B )

A. 4

B. 5

C. 6

D. 7

25. 可以直接现与的器件是( A )

A. OC门

B. I2L门

C. ECL门

D. TTL门

26. 16个触发器构成计数器,该计数器可能的最大计数模值是( D )

A. 16

B. 32

C.162

D. 216

27. 用1K×1位的RAM扩展成4K×2位应增加地址线( B )根。

A.1

B.2

C.3

D.4

28. 能把正弦信号转换成矩形脉冲信号的电路是( D )

A.多谐振荡器

B.D/A转换器

C.JK触发器

D.施密特触发器

29. 接通电源就能输出矩形脉冲波形的是( A )

A.多谐振荡器

B.D/A转换器

C.JK触发器

D.施密特触发器

30.在函数F=AB+CD的真值表中,F=1的状态有多少个?( D )。

A、2

B、4

C、6

D、7

E、16

31.对于题图1所示波形,其反映的逻辑关系是:( B )

图1

A、与非关系;

B、异或关系;

C、同或关系;

D、或关系;

E、无法判断。

32、矩形脉冲信号的参数有 D 。

A.周期

B.占空比

C.脉宽

D.以上都是

33、电路的输出态不仅与当前输入信号有关,还与前一时刻的电路状态有关,这种电路为( B )。

A.组合电路

B.时序电路

34、米利和莫尔型时序电路的本质区别是( B )

A 、没有输入变量。

B 、当时的输出只和当时电路的状态有关,和当时的输入无关。

C 、没有输出变量。

D 、当时的输出只和当时的输入有关,和当时电路状态无关。

35、十进制数25用8421BCD 码表示为 B 。

A.10 101

B.0010 0101

C.100101

D.1010

36、下列各函数等式中无冒险现象的函数式有 D 。 A.

B A A

C C B F ++= B.B A BC C A F ++= C.B A B A BC C A F +++= D.C A B A BC B A AC C B F +++++= E.

B A B A A

C C B F +++= 37、在下列逻辑电路中,不是组合逻辑电路的有

D 。

A.译码器

B.编码器

C.全加器

D.寄存器

38、把一个五进制计数器与一个四进制计数器串联可得到 D 进制计数器。

A.4

B.5

C.9

D.20

39、N 个触发器可以构成最大计数长度(进制数)为 D 的计数器。

A.N

B.2N

C.N 2

D.2N

40、同步时序电路和异步时序电路比较,其差异在于后者 B 。

A.没有触发器

B.没有统一的时钟脉冲控制

C.没有稳定状态

D.输出只与内部状态有关

41、寻址容量为16K ×8的RAM 需要 C 根地址线。

A.4

B.8

C.14

D.16

E.16K

42、只读存储器R OM 中的内容,当电源断掉后又接通,存储器中的内容

D 。

A.全部改变

B.全部为0

C.不可预料

D.保持不变

43、将一个时间上连续变化的模拟量转换为时间上断续(离散)的模拟量的过程称为 A 。

A.采样

B.量化

C.保持

D.编码

44、若某A D C 取量化单位△=81REF V ,并规定对于输入电压I u ,在0≤I u <8

1REF V

时,认为输入的模拟电压为0V ,输出的二进制数为000,则

85REF V ≤I u <86REF V 时,输出的二进制数为 B 。

A.001

B.101

C.110

D.111

45、指出下列电路中能把串行数据转换为并行数据的是( C )

A 、J K 触发器

B 、3线-8线译码器

C 、移位寄存器

D 、十进制计数器

46、逻辑函数F=)(B A A ⊕⊕ = A 。

A.B

B.A

C.B A ⊕

D. B A ⊕

47、在何种输入情况下,“与非”运算的结果是逻辑0。 D

A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1

48、若在编码器中有50个编码对象,则要求输出二进制代码位数为 B 位。

A.5

B.6

C.10

D.50

49、在下列逻辑电路中,不是组合逻辑电路的有 D 。

A.译码器

B.编码器

C.全加器

D.寄存器

50、下列逻辑电路中为时序逻辑电路的是 C 。

A.变量译码器

B.加法器

C.数码寄存器

D.数据选择器

51、随机存取存储器具有 A 功能。

A.读/写

B.无读/写

C.只读

D.只写

52、寻址容量为16K ×8的RAM 需要 C 根地址线。

A.4

B.8

C.14

D.16

E.16K

53、用二进制码表示指定离散电平的过程称为 D 。

A.采样

B.量化

C.保持

D.编码

54、将幅值上、时间上离散的阶梯电平统一归并到最邻近的指定电平的过程称为 B 。

A.采样

B.量化

C.保持

D.编码

填空题:

1. 数制转换: (8F)16 = (143 )10= (10001111 )2 = (217 )8。

2. 有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93)。

3. 已知某函数??

? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =(D C AB D C A B ?+??) 4. 如果对键盘上108个符号进行二进制编码,则至少要(7)位二进制数码。

5. 在TTL 门电路的一个输入端与地之间接一个10K Ω电阻,则相当于在该输入端输入(高)电平;在CMOS 门电路的输入端与电源之间接一个1K Ω电阻,相当于在该输入端输入(高)电平。

6.晶体三极管在工作时,发射结和集电结均处正向偏置,该晶体管工作在(饱和导通)状态。

7. 74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输

出 01234567Y Y Y Y Y Y Y Y 应为(10111111)。

8. 一个10位地址码、8位输出的ROM ,其存储容量为(8K 或213)。

9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有(11)根地址线,有(16)根数据读出线。

10 .能够实现“线与”的TTL 门电路叫(OC 门)。

11.按逻辑功能的不同特点,数字电路可分为(组合逻辑电路) 和(时序逻辑电路)两大类。

12.在逻辑电路中,三极管通常工作在( 饱和)和( 截止)状态

13.(406)10=(0100 0000 0110)8421BCD

14.一位数值比较器的逻辑功能是对输入的( A 和B 两个)数据进行比较,它有( Y A>B )、( Y A

15.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。

16.单稳态触发器有两个工作状态(稳态)和( 暂稳态 ),其中(暂稳态)是暂时的。

17.一般ADC 的转换过程由( 采样 )、( 保持 )、( 量化 ) 和( 编码 )4个步骤来完成。

18.存储器的存储容量是指(存储单元的总和)。某一存储器的地址线为A 14~A 0 ,数据线

为D 3~D 0 ,其存储容量是( 215×4 )。

19.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在

时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( 011 )

20.如果对160个符号进行二进制编码,则至少需要(8)位二进制数。

《电工学》期末考试试题及答案

电工学期末考试试题 一、填空题(每空2分,共24分) 1.电路如图1所示,=ab U V ,若选a 点为参考点,则b 点的电位b V = V 。 2.各支路电流如图2所示,则=I A 。 3.各电阻值如图3所示,则端口等效电阻=ab R Ω。 4.已知2202sin(314 135)u t V =- ,则有效值U = V ,周期T = s ,初相位= ,0.01t s =时,u = V 。 5.已知变压器的变比为4:1,测得次绕组中的电流24I A =,则原绕组中的电流1I = A 。 6.一台三相异步电动机,定子电压的频率为150Z f H =,极对数1p =,转差率0.015s =。则同步转速0n = /min r ,转子转速n = /min r ,转子电流频率2f = Z H 。 二、选择题(每题2分,共20分) 1.电路如图4所示,其KVL 方程正确的是( )。 .0S A U RI U ++= .0S B U RI U +-= .0S C U RI U -+= .0S D U RI U --= 2.图5所示电路中AB U 为( )V . 1.25A . 1.5B . 2C . 2.5D 3.电路如图6所示,叙述正确的是( )。 .A 电流源吸收功率,电压源发出功率 .B 电流源和电压源都吸收功率 .C 电流源发出功率,电压源吸收功率 .D 电流源和电压源都发出功率 a 图1 b 5V +- 图4 +-U I R -+s U 1A - I 1A 图2 - +V 10 图6 Ω10 A 2 B A 10Ω 10V + - 图5 2Ω 5V +- 5Ω 6Ω 图3 3Ω 2Ω a b

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

(完整版)数字电路期中考试试卷167101

2014—2015学年度《数电》期中考试试卷 班别 姓名: 学号: 题 号 一 二 三 四 五 总 分 得 分 一、 填空题(每空1分,共25分) 1、常用数制有十进制、 、 等。 2、在逻辑代数中,A+1= ;B+B = 。 3、数字电路的基本逻辑关系有 、 、 ,基本逻辑运算有 、 、 。 4、逻辑代数中的变量只有 和 两种取值。 5、(123.75)10= ( )2 6、(1010110010011)2= ( )16 7、(10110)2=( )10 8、数字电路中基本逻辑门是 、 、 。常用的复合门电路有 、 、 、 。 9、与非门实现的逻辑功能为 。异或门实现的逻辑功能是 。 10、如果把两输入与非门的两个输入端连在一起使用,它将成为一个 门。 二、 选择题(每题2分,共20分) 1、逻辑代数中的摩根定律可表示为C B A ??=( )。 A 、C B A ++ B 、A ·B · C C 、A +B +C D 、A +B ·C 2、有10101的二进制代码,表示十进制数为( )。 A 、11 B 、21 C 、25 D 、17 — 3、图中这个电路实现什么功能( ) A 、Y=1 B 、Y=0 C 、Y=A D 、Y= A 4、模拟电路与脉冲电路的不同在于( ) 模拟电路的晶体管多工作在开关状态 脉冲电路的晶体管多工作在饱和状态 模拟电路的晶体管多工作在截止状态 脉冲电路的晶体管多工作在开关状态 ≥1 A Y

5、若逻辑函数L=A+ABC+BC+B C,则L可化简为() A、L=A+BC B、L=A+C C、L=AB+B C D、L=A 6、在何种输入情况下,“或非”运算的结果是逻辑0,不正确的是( ) A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1,其他输入为0。 7、.一位十六进制数可以用多少位二进制数来表示?() A.1 B.2 C.4 D. 16 8、以下表达式中符合逻辑运算法则的是() A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 9、四位16进制数最大的数是() A.1111 B .7777 C. FFFF D 都不是 10、以下表达式中符合逻辑运算法则的是()

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

电工学试题及答案套电工部分

电工学试题及答案套电 工部分 TTA standardization office【TTA 5AB- TTAK 08- TTA 2C】

电工学练习题(A) 一、单项选择题:在下列各题中,有四个备选答案,请将其中唯一正确的答案填入题干的括号中。(本大题共5小题,总计10分) 1、图示电路中, 若电压源U S =10 V, 电流源I S =1 A, 则( ) A. 电压源与电流源都产生功率 B. 电压源与电流源都吸收功率 C. 电压源产生功率, 电流源不一定 D. 电流源产生功率, 电压源不一定 电压源, 若外2、电路如图所示, U S 为独立 电路不变, 仅电阻R变化时, 将会引起 ( ) A. 端电压U的变化 B. 输出电流I的变化 C. 电阻R支路电流的变化 D. 上述三者同时变化 3、电路如图所示, 支路电流I AB 与支路电压U AB 分别应为( ) A. 05. A与15. V B. 0 A与1 V C. 0 A与-1 V D. 1 A与0 V

4、图示正弦交流电路中,已知R L C == ωω1, i t 13245=+?cos()ωA , i t 24245=-?cos()ωA ,则i 3为 ( )A. 5281 cos(.)ωt -? A B. 5281cos(.)ωt +? A C. 52cos ωt A D. 7245cos()ωt +? A 5、可以通过改变电容来调节RLC 串联电路的谐振频率,若要使谐振频率增大一 倍, 则电容应( ) A.大4倍 B.大2倍 C. 减至 2 1 D. 减至 4 1 二、填空题:(共20分)(要求写出计算过程) 1、电路如图所示,欲使电压源输出功率为零,则电阻R 为____Ω, 所吸收功率为______W 。 2、若图(a)的等效电路如图(b)所示, 则其中I S 为__________A, R S 为 __________Ω。 3、图示正弦交流电路中,已知 I R =∠-23 π A ,则 I L =____________A 三、非客观题 ( 本 大 题10分 ) 电路如图所示,应用KCL 与KVL 求电流I 、电压U 及元件X 吸收的功率。 四、非客观题 ( 本 大 题15分 )

数电试题库(新)

第一、二章数制转换及逻辑代数 一、完成下列数制转换 (11001)2=()10;(32)10=()2;(110101.01)2=()10 (132.6)10=()8421BCD; 二、试分别用反演规则和对偶规则写出下列逻辑函数的反函数式和对偶式。 1、Y=错误!未找到引用源。+CD 2、Y=错误!未找到引用源。C 3、Y=错误!未找到引用源。D 4、Y= A错误!未找到引用源。B 5、Y=A+错误!未找到引用源。 6、Y=ABC+错误!未找到引用源。错误!未找到引用源。 三、用公式法化简为最简与或式: 1、Y=错误!未找到引用源。C+错误!未找到引用源。A 2、Y=错误!未找到引用源。错误!未找到引用源。C+错误!未找到引用源。BC+A 错误!未找到引用源。C+ABC 3、Y=错误!未找到引用源。(A+B) 4、Y=A错误!未找到引用源。(C+D)+D+错误!未找到引用源。 5、C B C B B A B A Y+ + + = 四、证明利用公式法证明下列等式 1、错误!未找到引用源。错误!未找到引用源。+错误!未找到引用源。错误!未找到引用源。+BC+错误!未找到引用源。错误!未找到引用源。错误!未找到引用源。=错误!未找到引用源。+ BC 2、AB+BCD+错误!未找到引用源。C+错误!未找到引用源。C=AB+C 3、A错误!未找到引用源。+BD+CBE+错误!未找到引用源。A错误!未找到引用源。+D 4、AB+错误!未找到引用源。错误!未找到引用源。+ A错误!未找到引用源。+错误!未找到引用源。B=错误!未找到引用源。) 5、AB(C+D)+D+错误!未找到引用源。(A+B)(错误!未找到引用源。+错误!未找到引用源。)=A+B错误!未找到引用源。+D 五、用卡诺图化简函数为最简与-或表达式 1、Y(A,B,C,D)=错误!未找到引用源。B+错误!未找到引用源。C+错误!未找到引用源。错误!未找到引用源。+AD 2、Y(A,B,C,D)=错误!未找到引用源。错误!未找到引用源。C+AD+错误!未找到引用源。(B+C)+A错误!未找到引用源。+错误!未找到引用源。错误!未找到引用源。 3、Y(A,B,C,D)=错误!未找到引用源。 4、Y(A,B,C,D)=错误!未找到引用源。 六、选择题 1. 在N进制中,字符N的取值范围为:() A.0 ~ N B.1 ~ N C.1 ~ N -1 D.0 ~ N-1 3. 二进制数1110111.11转换成十进制数是() A.119. 125 B.119. 3 C.119 . 375 D.119.75 4、数字信号的特点是() A.在时间上和幅值上都是连续的。B.在时间上是离散的,在幅值上是连续的。 C.在时间上是连续的,在幅值上是离散的。D.在时间上和幅值上都是不连续的。 5、下列各门电路符号中,不属于基本门电路的是()

数电考试试卷

四、(10分)如下图所示为由维持—阻塞边沿D 触发器和主从型J-K 触发器组成的电路。试画出触发器输出端Q 1、Q 2的波形(设触发器初始状态均为0)。 四、(10分) )(Q Q Q Q Q K Q J Q )C (Q D Q 12n 12n 12n 2n 12n 2n 11n ↓=+=+=↑==++CP Q P n n n 五、(15分)如下面左图所示为由八选一数据选择器实现的函数F 。 (1)试写出F 的表达式。 (2)用右边的3-8译码器74LS138及若干个与非门实现函数F 。 五、(15分) )4,3,1,0(),,(11m D B A F D B A BD A D B A D B A D A D B D B A BD A B A D C B A D C B A BCD A D C B A C B A C B A F ∑=+++=+=++=++++?+?=即 表达式4分 3分 3分 表达式7分 逻辑图8分

二、化简逻辑函数(5分) Z F A B C D =(,,,)=m d (,,,,,,)(,)3589111314 015+∑∑。 二、卡诺图如下图所示,Z ABC BCD BCD ABC =+++. (5分) 三、用四位同步二进制计数器CT74161、3线-8线译码器CT74138和少量的与非门设计一个函数发生器,使其产生10110101序列信号。(10分) 三、(共10分) 1、因序列长度S=8,可用CT74161设计一个模8计数器,有效状态为Q D Q C Q B Q A =0000~0111。如采用同步预置法,电路如下图(a)所示,如采用反馈清零(异步)法,电路如图(b)所示。(2分) 3、产生10110101序列码的电路如下所示:(5分) 7 5320Y Y Y Y Y ????=2、用译码器CT74138实现组合输出电路,列真值表如左所示: 故得到组合输出为:(3分) Z= ∑m (0,2,3,5,7)=Y 0+Y 2+Y 3+Y 5+Y 7

数电期末试卷及答案(共4套)

XX大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为()、 ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。 (6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM 中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1:

(完整版)数电试题及答案

通信 071~5 班 20 08 ~20 09 学年 第 二 学期 《数字电子技术基 础》 课试卷 试卷类型: A 卷 一、 单项选择题(每小题2分,共24分) 1、8421BCD 码01101001.01110001转换为十进制数是:( ) A :78.16 B :24.25 C :69.71 D :54.56 2、最简与或式的标准是:( ) A :表达式中乘积项最多,且每个乘积项的变量个数最多 B :表达式中乘积项最少,且每个乘积项的变量个数最多 C :表达式中乘积项最少,且每个乘积项的变量个数最少 D :表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:( ) A :消去1个表现形式不同的变量,保留相同变量 B :消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同变量 表1 D :消去4个表现形式不同的变量,保留相同变量 4、已知真值表如表1所示,则其逻辑表达式为:( ) A :A ⊕B ⊕C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达式为:( ) A :F(A,B,C)=∑m (0,2,4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要( )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011,则输出Y 7 ~Y 0是:( ) A :11111101 B :10111111 C :11110111 D :11111111 8、要实现n 1n Q Q =+,JK 触发器的J 、K 取值应是:( ) A :J=0,K=0 B :J=0,K=1 C :J=1,K=0 D :J=1,K=1

数字电路期中试卷(答案)

数字电路期中试卷(答案)

第 2 页共 11 页

第 3 页共 11 页

第 4 页 共 11 页 三、简答题 (每小题5分,共25分) 1、用真值表证明等式:)(B A ⊕⊙A C =⊙)(C B ⊕ 证明:真值表如下: 由上述真值表(的后两列)知:)(B A ⊕⊙A C =⊙)(C B ⊕ 2、用代数法化 简函数: ADCBD D C B C B ABD D ABC L +++?+=)( 解: C B AB A C B A D A C B C AD AC B C B ABD ABC D C B C B ABD ABC D C B C B ABD ABCD D ABC ADCBD D C B C B ABD D ABC L +=+=++=++=++=+++=+++++=+++?+=)()()()()( 3、用卡诺图法化简函数: ∑∑+=)96,3,21()15,1312,111075,0(),,,(,,d ,,,,m D C B A R 解:画出卡诺图并化简,得

第 5 页 共 11 页 D C B C AB B A R +++= 4、分析下列功能表,说明其功能。 解:由功能表知: (1)功能表是4线-2线优先编码器的功能表; (2)此优先编码器有1个输入使能控制端E ,高电平有效; (3)有4个输入端,优先级别从3 I 、2I 、1 I 、0 I 依次降低,且为高电平有效; (4)有1个输出指示端GS ,低电平有效; (5)有2个输出端1Y 、0 Y ,高电平有效,权值分别为2、1。 (6)优先编码器的输出为: 321I I Y +=,3 210I I I Y +=,

数电试题与答案(五套)

《数字电子技术基础》试题一 一、 填空题(22分 每空2分) 1、 =⊕0A , =⊕1A 。 2、JK 触发器的特性方程为: 。 3、单稳态触发器中,两个状态一个为 态,另一个为 态.多谐振荡器两个状态都为 态, 施密特触发器两个状态都为 态. 4、组合逻辑电路的输出仅仅只与该时刻的 有关,而与 无关。 5、某数/模转换器的输入为8位二进制数字信号(D 7~D 0),输出为0~25.5V 的模拟电压。若数字信号的最低位是“1”其余各位是“0”,则输出的模拟电压为 。 6、一个四选一数据选择器,其地址输入端有 个。 二、 化简题(15分 每小题5分) 用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈 1)Y (A,B,C,D )=∑m (0,1,2,3,4,5,6,7,13,15) 2)∑∑+=)11,10,9,3,2,1()15,14,13,0() ,,,(d m D C B A L 利用代数法化简逻辑函数,必须写出化简过程 3)________________________________________ __________)(),,(B A B A ABC B A C B A F +++= 三、 画图题(10分 每题5分) 据输入波形画输出波形或状态端波形(触发器的初始状态为0). 1、 2、 四、 分析题(17分) 1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分) 2、电路如图所示,分析该电路,画出完全的时序图,并说明电路的逻辑功能,要有分析过程(11分) 五、 设计题(28分) 1、 用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯 亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制 电路真值表,要求用74LS138和适当的与非门实现此电路(20分)

电工技术考试试题及答案

电工技术考试试题答案 一、选择题 1、两只额定电压相同的电阻,串联接在电路中,则阻值较大的电阻 (A)。 A、发热量较大 B、发热量较小 C、没有明显差别 2、万用表的转换开关是实现(A)。 A、各种测量种类及量程的开关 B、万用表电流接通的开关 C、接通被测物的测量开关 3、绝缘棒平时应(B)。 A、放置平稳 B、使他们不与地面和墙壁接触,以防受潮变形 C、放在墙角 4、绝缘手套的测验周期是(B)。 A、每年一次 B、六个月一次 C、五个月一次 5、绝缘靴的试验周期是(B)。 A、每年一次 B、六个月一次 C、三个月一次 6、在值班期间需要移开或越过遮栏时(C) A、必须有领导在场 B、必须先停电 C、必须有监护人在场 7、值班人员巡视高压设备(A)。 A、一般由二人进行 B、值班员可以干其它工作

C、若发现问题可以随时处理 8、倒闸操作票执行后,必须(B)。 A、保存至交接班 B、保存三个月 C、长时间保存 9、接受倒闸操作命令时(A)。 A、要有监护人和操作人在场,由监护人接受 B、只要监护人在场,操作人也可以接受 C、可由变电站(所)长接受 10、直流母线的正极相色漆规定为(C)电工/焊工。 A、蓝 B、白 C、赭 11、接地中线相色漆规定涂为(A)。 A、黑 B、紫 C、白 12、变电站(所)设备接头和线夹的最高允许温度为(A)。 A、85℃ B、90℃ C、95℃ 13、电流互感器的外皮最高允许温度为(B)。 A、60℃ B、75℃ C、80℃ 14、电力电缆不得过负荷运行,在事故情况下,10kV以下电缆只允许连续(C)运行。 A、1h过负荷35% B、1.5h过负荷20% C、2h过负荷15% 15、电力变压器的油起(A)作用。 A、绝缘和灭弧 B、绝缘和防锈 C、绝缘和散热 16、继电保护装置是由(B)组成 A、二次回路各元件 B、各种继电器

最新数电试题库试卷1

1.将二进制数化为等值的十进制和十六进制: (1100101)2=( 101 )10 =( 65 )16 2.写出下列二进制数的原码和补码: (-1011)2=( 11011 )原=( 10101 )补 Y的电平依次为3.输出低电平有效的3线– 8线译码器的输入为110时,其8个输出端0 7~Y 10111111 。 *; 4.写出J、K触发器的特性方程:Q Q+ = Q K J 5. TTL集电极开路门必须外接__上拉电阻______才能正常工作。 1.余3码10001000对应的8421码为(A )。 A.01010101 B.10000101 C.10111011 D.11101011 2.使逻辑函数) B A B =为0的逻辑变量组合为( D ) C + + F+ (C A ' ' )( ' ' )( A. ABC=000 B. ABC=010 C. ABC=011 D. ABC=110 3.标准或-与式是由( C )构成的逻辑表达式。 A.与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 4. 由或非门构成的基本R、S触发器,则其输入端R、S应满足的约束条件为(B)。 A. R+S=0B. RS=0C. R+S=1D.RS=1 5.一个8选一数据选择器的地址输入端有(C )个。 A.1 B.2 C.3 D.8 6.RAM的地址线为16条,字长为32,则此RAM的容量为( D )。 A.16×32 位 B. 16K×32位 C. 32K×32位 D.64K×32位 7.要使JK触发器在时钟作用下的次态与现态相反,JK端取值应为(D )。 A.JK=00 B. JK=01 C. JK=10 D. JK=11 8. 用8个触发器可以记忆( D )种不同状态. A.8 B.16 C.128 D.256 9. 多谐振荡器可以产生下列哪种波形( B ) A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 10.输出在每个时钟周期翻转一次的触发器是( A )。

数字电子技术基础期末考试试卷及答案

数字电子技术基础期末考试试卷及答案 Document serial number【KKGB-LBS98YT-BS8CB-BSUT-BST108】

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门

3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

五套电力系统分析试题(含参考答案)

电力系统分析试题(含答参考案) 2018.08 一、 一、填空题 1.降压变压器高压侧的主分接头电压为220kv ,若选择+2×2.5%的分接头,则该分接头电压为 231KV 。 2.电力系统中性点有效接地方式指的是 中性点直接接地 。 3.输电线路的电气参数包括电抗、电导、电纳和 电阻 。 4.输电线路的电压偏移是指线路始端或末端母线的实际运行电压与线路 额定电压 的数值差。 5.电力系统的潮流分布一般是用各节点的电压和 功率 表示。 6.调整发电机组输出的有功功率用来调整电力系统运行的 频率 。 7.复合故障一般是指某一时刻在电力系统 二个及以上地方 发生故障。 8.用对称分量法计算不对称故障,当三相阻抗完全对称时,则其序阻抗矩阵Zsc 的非对角元素为 零 。 9.系统中发生单相接地短路时故障点短路电流的大小是零序电流的 3 倍。 10.减小输出电元件的电抗将 提高(改善) 系统的静态稳定性。 二、单项选择题在每小题列出的四个选项中只有一个选项是符合题目要求的,请将正确选项前的字母填在题后的括号内。 11.同步发电机的转速和系统频率之间是否有严格的关系( ② ) ①否 ②是 ③不一定 ④根据发电机的形式定 12.三绕组变压器的结构、通常将高压绕组放在( ③ ) ①内层 ②中间层 ③外层 ④独立设置 13.中性点以消弧线圈接地的电力系统,通常采用的补偿方式是( ③ ) ①全补偿 ②欠补偿 ③过补偿 ④有时全补偿,有时欠补偿 14.三相导线的几何均距越大,则导线的电抗( ② ) ①越大 ②越小 ③不变 ④无法确定 15.变压器的电导参数G T ,主要决定于哪一个实验数据( ① ) ①△P O ②△P K ③U K % ④I O % 16.当功率的有名值为s =P +jQ 时(功率因数角为?)取基准功率为S n ,则有功功率的标么值为( ③ ) ① ?cos S P n ? ②?sin S P n ? ③n S P ④n S cos P ?? 17.环网中功率的自然分布是( ④ ) ①与电阻成正比分布 ②与电抗成正比分布 ③与阻抗成正比分布 ④与阻抗成反比分布

电工学期末模拟试题含答案

电工学(电工技术)试题库试卷 2003 ~ 2004 (I I ) 一、单项选择题:在下列各题中,将唯一正确的答案代码填入括号内 (本大题分12小题,每小题2分,共24分) 1、在图示电路中,已知U S =2V ,I S =2A 。A 、B 两点间的电压U AB 为 ( )。 (a) 1V (b) ?1V (c) ?2V 2、有一台星形连接的三相交流发电机,额定相电压为660V ,若测得其线电压U AB =1143V , U BC =660V ,U CA =660V ,则说明 ( )。 (a) A 相绕组接反 (b) B 相绕组接反 (c) C 相绕组接反 3、图示正弦电路中,Z = (40 + j30) ?,X L =10?,有效值U 2 =200V ,则总电压有效值U 为 ( )。 (a) V (b) 226 V (c) 120 V 4、某非正弦周期电流电路的电压为A )303sin(230sin 2100120?+++=t t u ωω,电流 A )303sin(273.1)30sin(2109.13??-+++=t t i ωω,则其三次谐波的功率P 3为 ( )。 (a) (b) 45W (c) 5、图示电路在换路前已处于稳定状态,而且电容器C 上已充有图示极性的6V 电压,在 t =0瞬间将开关S 闭合,则i (0+)= ( )。 (a) ?1A (b) 0A (c) 1A 6、图示为一直流电磁铁磁路,线圈接恒定电压U 。当气 隙长度? 减小时,线圈电流I 将 ( )。 (a) 增大 (b) 减小 (c) 保持不变 7、电力变压器的外特性曲线与负载的大小和性质有 关,当负载为电阻性或电感性时,其外特性曲线 ( )。 (a) 随负载增大而上升 (b) 随负载增大而下降 (c) 为一平行横坐标的直线 8、三相异步电动机的旋转方向决定于( )。 (a) 电源电压大小 (b) 电源频率高低 (c) 定子电流的相序 9、在电动机的继电器接触器控制电路中,欠压保护的功能是( )。 (a) 防止电源电压降低烧坏电动机 (b) 防止停电后再恢复供电时电动机自行起动 (c) 实现短路保护 10、当限定相对测量误差必须小于±2%时,用准确度为级、量程为250V 的电压表所测量的电压值应为 ( )。 (a)小于125V (b)不大于250V (c)大于125V

数字电子技术试题库

数字电子技术 一、请对下列函数化简 1、1 F =AC+AB+BC+ACD 解: 1 F =AC+AB+BC+ACD F1=AC(1+D)+AB+BC =AC+AB+BC =A(B+C)+BC =A(B+C)+B+C 由A+AB=A+B 得出 1F =A+B+C=A+BC 2、 3、1F AB AC BC BCD BCE BCF =+++++ 解: 1F AB AC BC BCD BCE BCF =+++++ C A C B AB B C A C B A C B C B A AB ABC AB BC A ABC C B C B A C B A AB A A BC C B C C B A AB BC C B B A F ++=+++++=+++++=+++++=+++=)()()()()(1

1F AB A BC BCD BCE BCF =+?+++ AB C BD BE =+++ 4. 2F AB AC CD BCD BCE BCG BCF =++++++ 解: 2F AB AC CD BCD BCE BCG BCF =++++++ 2F AB AC CD BC BD BCE =+++++ AB AC CD BD BCE =++++ AC CD AD AB BD BCE =+++++ AC CD AD AD B BCE =+++?+ AC CD AD B BCE =++++ AC CD B CE =+++ 5、4(,,,)F A B C D AB AC ABD A CD CD =++++ 解: 4(,,,)F A B C D AB AC ABD A CD CD =++++

数字电路试题五套含答案

《数字电子技术》试卷一 一、 填空(每空1分,共25分) 1、(10110)2=( )10=( )16 (28)10=( )2=( )16 (56)10=( )8421BCD 2、最基本的门电路是: 、 、 。 3、有N 个变量组成的最小项有 个。 4、基本RS 触发器的特征方程为_______ ,约束条件是 __. 5、若存储器的容量是256×4RAM,该RAM 有 ___存储单元,有 字,字长 _____位,地址线 根。 6、用N 位移位寄存器构成的扭环形计数器的模是________. 7、若令JK 触发器的J=K=T 则构成的触发器为_______. 8、如图所示,Y= 。 9、如图所示逻辑电路的输出Y= 。 10、已知Y=D AC BC B A ++,则Y = ,Y/= 。 11、组合逻辑电路的特点是_________、___________;与组合逻辑电路相比,时序逻辑电路的输出不仅仅取决于此刻的_______;还与电路 有关。 二、 化简(每小题5分,共20分) 1、公式法化简 (1)Y=ABC ABC BC BC A ++++ (2)Y ABC A B C =+++

2、用卡诺图法化简下列逻辑函数 =+++ (1)Y BCD BC ACD ABD Y=∑+∑ (2)(1,3,4,9,11,12,14,15)(5,6,7,13) m d 三、设下列各触发器初始状态为0,试画出在CP作用下触发器的输出波形(10 分) 四、用74LS161四位二进制计数器实现十进制计数器(15分)

五、某汽车驾驶员培训班结业考试,有三名评判员,其中A 为主评判员,B 、C 为副评判员,评判时,按照少数服从多数原则,但若主评判员认为合格也可以通过。试用74LS138和与非门实现此功能的逻辑电路。(15分) P Q A Q B Q C Q D C T 74LS161 LD CP Q A 、Q B 、Q C 、Q D :数据输出端; A 、B 、C 、D :数据输入端; P 、T :计数选通端; r C :异步复位端; CP :时钟控制输入端; D L :同步并置数控制端;

《电工学》期末考试试题及答案

电工学期末考试试题 4. 已知 u =220 Jsin (314t - 135 V ,则有效值 U = _____ V ,周期 T 二 _______ s ,初相位二 ______ t = 0.01s 时,u 二 ____ V o 5. 已知变压器的变比为4:1,测得次绕组中的电流l 2=4A ,则原绕组中的电流h 二 ____________ A o 6. 一台三相异步电动机,定子电压的频率为 f^50H Z ,极对数p=1,转差率s = 0.015。则同步转 速n 0二 ________ r /min ,转子转速 n 二 ___ r /min ,转子电流频率 f 2二 _______ H Z 。 、选择题(每题2分,共20 分) 1. 电路如图4所示,其KVL 方程正确的是( ) 2. 图5所示电路中U AB 为( )V 3. 电路如图6所示,叙述正确的是( ) A. 电流源吸收功率,电压源发出功率 C.电流源发出功率,电压源吸收功率一、填空题 1.电路如图 (每空2分,共24分) 1所示,U ab b J Q — 5V - 图1 2.各支路电流如图2所示, 3.各电阻值如图3所示,则端口等效电阻R ab - Q o A. U S RI U =0 B. Us RI -U =0 C. U s -RI U =0 D. U s - RI -U =0 B. 1.5 C. 2 D. 2.5 + O ------- 10V C )( )5/ A — 5Q — 1QQ | B Q B.电流源和电压源都吸收功率 D.电流源和电压源都发出功率 A. 1.25 21' 1 图5 10V

(完整版)数字电子技术题库

一、 选择题 1. 求逻辑函数Y AB B AB =++的最简与或式( ) ()()()()1 A A B AB B A B C A B B D +++++ 2. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C . F (A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 3. 求逻辑函数C B A AB C C B A ABC Y ++++=的最简与或式 A. C B A ABC Y ++= B. C B A Y += C. C B Y +=1 D. 1 4. 求逻辑函数C B A ABC C B A ABC Y ++++=的最简与或式 A. B AB Y += B. 1=Y C. B A Y += D. AC AB ABC Y ++= 5. 求逻辑函数01234689101114(,,,)(,,,,,,,,,,)Y A B C D m m m m m m m m m m m =∑最简与或式( ) ()()()()A B CD AD B B CD ACD C B CD AD D B CD AD ++++++++ 6. 函数Y ABC AB =+的最简与或式( ) ()()()0 ()1 A A B AB A C BC B AB AB AC BC C D ++++++ 7. 逻辑函数()Y ABC AB AB BC =+++,最少需要几个与非门可以实现此逻辑( ) (A) 2 (B) 3 (C) 4 (D) 5 8. 逻辑函数()Y CD A B ABC ACD =⊕++约束条件0AB CD +=的最简与或式( ) ()()()()A B AD AC B B AD AC C B AD AC D B AD AC ++++++++ 9. 逻辑函数(,,)Y A B C ABC AC BC =++的标准与或式为( ) ()(1,3,5,7)()(0,3,5,7)()(1,2,5,7) ()(1,3,5,6) A m B m C m D m ∑∑∑∑ 10. 图中门电路为74系列TTL 门。要求当V I =V IH 时,发光二极管D 导通并发光,

相关文档
相关文档 最新文档