文档库 最新最全的文档下载
当前位置:文档库 › (完整版)基于DSP的数字滤波器设计毕业论文

(完整版)基于DSP的数字滤波器设计毕业论文

(完整版)基于DSP的数字滤波器设计毕业论文
(完整版)基于DSP的数字滤波器设计毕业论文

基于DSP的数字滤波器设计

摘要

21世纪是数字化的时代,随着信息处理技术的飞速发展,数字信号处理技术逐渐发展成为一门主流技术,它在电子信息、通信、软件无线电、自动控制、仪表技术、信息家电等高科技领域得到了越来越广泛的应用。相对于模拟滤波器,数字滤波器没有漂移,能够处理低频信号,频率响应特性可做成非常接近于理想的特性,且精度可以达到很高,容易集成等,这些优势决定了数字滤波器的应用越来越广泛。同时DS P(数字信号处理器)的出现和F PGA的迅速发展也促进了数字滤波器的发展,并为数字滤波器的硬件实现提供了更多的选择。

DSP芯片是一种特别适合数字信号处理运算的微处理器,主要用来实时、快速地实现各种数字信号处理算法。用DSP 芯片实现FIR数字滤波器,不仅具有精确度高、不受环境影响等优点,而且因DSP 芯片的可编程性,可方便地修改滤波器参数,从而改变滤波器的特性,设计十分灵活。

本课题主要应用MATLAB软件设计FIR数字滤波器,并对所设计的滤波器进行仿真;应用DSP集成开发环境——CCS调试汇编程序,用TMS320C5416来实现了FIR数字滤波。具体工作包括:对FIR数字滤波器的基本理论进行了分析和探讨;采用MATLAB软件来学习数字滤波器的基本知识,计算数字滤波器的系数,研究算法的可行性,对FIR低通数字滤波器进行前期的设计和仿真;系统介绍了TI公司TMS320C54x系列数字信号处理器的硬件结构、性能特点和DSP的集成开发环境CCS;

应用DSP集成开发环境——CCS调试汇编程序,用TMS320C5416来实现了FIR数字滤波。

关键词数字滤波器;DSP;FIR(有限长单位脉冲响应);MATLAB

Design of The Digital Filter Based on DSP

Abstract

21century is the era of digitalization. With the rapid development of information processing technology, digital signal processing technology technology gradually. It widely applied in the , communication, software radio, automatic control, instrument technology and information electrical process low frequency signal without excursion. Frequency response property can be made very close to ideal property; its precision can reach very . These advantages determine the application of digital filter more and more widely. Meanwhile, the appearance of digital signal processor(DSP) and the rapid development of FPGA also stimulate the development of digital filter, and provide more choices for the of digital filter.

DSP chips is a particularly suitable for digital signal processing computing microprocessors, mainly used for real-time, the rapid realization of a variety of digital signal processing algorithms. DSP chips used to achieve FIR digital filter, not only be easily modified filter parameters, thus changing the filter characteristics of the design is very flexible.

This project mainly applies the MATLAB software to design FIR digital filter; imitates the designed filter; applies DSP integrated developing surroundings-CCS to debug assembler; uses TMS320C5416 to realize FIR digital filter. The specific works include: analyzing and discussing basic theories of FIR digital filter; using the MATLAB software to learn basic knowledge of digital filter: calculating the coefficient of digital filter; studying the feasibility of the way of calculation; designing and imitating the earlier stage of FIR digital filter; introducing in a

systematic way the Specific Integrated Circuits)实现,适用于过程固定而又追求高速的信号处理任务,是以指定的算法来确定它的结构,使用各种随机逻辑器件组成的信号处理器。它们体积小、保密性好,具有极高的性能,但灵活性差。

4.用FPGA等可编程器件来开发数字滤波算法。由于FPGA产品的迅速发展,人们可以利用Atera、Xilinx等产品,使用其相关开发工具和VHDL等硬件开发语言,通过软件编程用硬件实现特定的数字滤波算法。这一方法由于具有通用性的特点并可以实现算法的并行运算,无论是作为独立的数字信号处理器,还是作为DSP芯片的协处理器,目前都是比较活跃的研究领域。

比较以上方法可见:可以采用MATLAB等软件来学习数字滤波器的基本知识,计算数字滤波器的系数,研究算法的可行性,对数字滤波器进行前期的设计和仿真。而后,用DSP处理器或FPGA进行数字滤波的硬件实现。本课题设计的FIR数字滤波器就是用MATLAB进行设计和仿真,用DSP处理器来实现。

1.1主要研究内容

本课题主要应用MATLAB软件设计FIR数字滤波器,并对所设计的滤波器进行仿真:应用DSP集成开发环境——CCS调试汇编程序,用TMS320C5416来实现了FIR数字滤波。具体工作包括:对FIR数字滤波器的基本理论进行了分析和探讨;采用MATLAB软件来学习数字滤波器的基本知识,对FIR低通数字滤波器进行前期的设计和仿真;系统介绍了TI公司TMS320C54x系列数字信号处理器的硬件结构、性能特点和DSP的集成开发环境CCS;应用DSP集成开发环境——CCS调试汇编程序,用TMS320C5416来实现了FIR数字滤波。

第2章FIR滤波器基础

2.1FIR滤波器的特点

在数字信号处理应用中往往需要设计线性相位的滤波器,FIR滤波器在保证幅度特性满足技术要求的同时,很容易做到严格的线性相位特性。FIR滤波器不断地对输入样本x(n)延时后,再作乘法累加算法,将滤波结果y(n)输出,因此,FIR实际上是一种乘法累加运算。

在数字滤波器中,FIR滤波器的最主要的特点是没有反馈回路,故不存在不稳定的问题;同时,可以在幅度特性是随意设置的同时,保证精确的线性相位。稳定和线性相位特性是FIR滤波器的突出优点。另外,它还有以下特点:设计方式是线性的;硬件容易实现;滤波器过渡过程具有有限区间;相对IIR滤波器而言,阶次较高,其延迟也要比同样性能的IIR滤波器大得多[l][2]。

2.2FIR滤波器的设计方法

FIR滤波器的设计方法主要有窗函数设计法和频率抽样设计法等,其中窗函数设计法是最基本的设计方法。在设计FIR滤波器中,一个最重要的计算就是加窗,采用矩形窗是最直接和简便的方法,但采用矩形窗存在较大的Gibbis效应,且矩形窗的第一旁瓣与主瓣相比仅衰减13dB,因此实际设计中一般采用其他窗函数。本小节主要介绍几种常用的窗函数和频率抽样设计法等。

2.2.1利用窗函数法设计FIR滤波器

1.窗函数法的基本思想

窗函数设计的基本思想是要选取某一种合适的理想频率选择性滤波器,然后将它的脉冲响应截断以得到一个线性相位和因果的FIR 滤波器。因此这种方法的重点在于选择某种合适的窗函数和一种理想滤波器。对于给定的滤波器技术指标,选择滤波器长度和具有最窄主瓣宽度和尽可能小的旁瓣衰减的某个窗函数。

任何数字滤波器的频率响应都是的周期函数,它的傅立叶级数展开式为:

(2-1) 其中201sin[()]1

2()()12()2

c j j n

d d L n h n H

e e d L n πωωωωππ--==--? (2-2) 其中的为滤波器的归一化的截止频率。傅立叶系数实际上就是理想数字滤波器的冲激响应。获得有限冲激响应数字滤波器的一种可能方法就是把无穷级数截取为有限项级数来近似,而吉布斯(Gibbs)现象使得直接截取法不甚令人满意[1]。

窗函数法就是用被称为窗函数的有限加权系列来修正式(2-2)的傅立叶级数,以求得要求的有限冲激响应序列,即有:

(2-3)

是有限长序列,当及时,。

2.几种常用的窗函数

工程中比较常用的窗函数有[l][3]:矩形窗函数、三角形 (Bartlett)窗函数、汉宁(Hanning)窗函数、海明(Hamming)窗函数、布莱克曼(Blackman)窗函数和凯塞(Kaiser)窗函数。这几种窗函数的比较见表2-1所示。

表2-1 几种常用窗函数对比

窗函数

旁瓣峰值衰减(db) 过渡带(△ω) 阻带最小衰减(db) 矩形窗 -13 4πN -21 三角形

-27 8πN -25 汉宁窗

-31 8πN -44 海明窗

-41 8πN -53 布莱克曼窗

-57 12πN -74 凯塞窗 -57 10πN -80

窗函数的选择原则是:

1.具有较低的旁瓣幅度,尤其是第一旁瓣幅度;

2.旁瓣幅度下降速度要大,以利增加阻带衰减;

3.主瓣的宽度要窄,以获得较陡的过渡带。

通常上述三点很难同时满足。当选用主瓣宽度较窄时,虽然得到较陡的过渡带,但通带和阻带的波动明显增加:当选用最小的旁瓣幅度时,虽能得到匀滑的幅度响应和较小的阻带波动,但过渡带加宽。因此,实际选用的窗函数往往是它们的折衷。在保证主瓣宽度达到一定要求的条件下,适当牺牲主瓣宽度来换取旁瓣波动的减少。

1.汉宁(Hanning)窗

汉宁窗又称升余弦窗。

212()sin ()()[1cos()]()121N N n

n w n R n R n N N ππ==--- (2-4) 利用傅里叶变换特性,可得

1()2

1()2

22(){0.5()0.25[()()]}11()N j j R R R N j W e W W W e N N W e ωω

ωππωωωω----=+-++--= (2-5)

当时,,所以窗函数的幅频函数为

()0.5()0.25[()()]R R R W W W W N N

ωωωω=+-++ (2-6) 这三部分之和,使旁瓣互相抵消,能量更集中在主瓣,它的最大旁瓣值比主瓣值约低31dB 。但是代价是主瓣宽度比矩形窗的主瓣宽度增加一倍,即为。

2.海明(Hamming)窗

海明窗又称改进的升余弦窗。把升余弦窗加以改进,可以得到旁瓣更小的效果,窗形式为

2()[0.540.46cos()]()1

N n w n R n N π=-- (2-7) 的频率响应的幅度特性为

22()0.54()0.23[()()]11220.54()0.23[()()]R R R R R R W W W W N N W W W N N ππωωωωππωωω=+-++--≈+-++

(2-

8)

与汉宁窗相比,主瓣宽度相同,为,但旁瓣又被进一步压低,结果可将99.963%的能量集中在窗谱的主瓣内,它的最大旁瓣值比主瓣值约低41dB 。

3.布莱克曼(Blackman)窗

布莱克曼窗又称二阶升余弦窗。

为了进一步抑制旁瓣,对升余弦窗函数再加上一个二次谐波的余弦分量,变成布拉克曼窗,故又称二阶升余弦窗。

24()[0.420.5cos()0.08cos()]()11

N n n w n R n N N ππ=-+-- (2-9) 的频率响应的幅度特性为

()0.42()0.25[()()]11440.04[()()]11

R R R R R w n W W W N N W W N N ωωωππωω=+-++--+-++-- (2-10) 4.凯塞(Kaiser )窗

这是一种适应性较强的窗,是一种最优和最有用的窗。它是在给定阻带衰减下给出一种大的主瓣宽度意义上的最优结果,这本身就内含着最陡峭的过渡带。其公式为:

200(1[12/(1)])()()

I n N w n I ββ---= (2-11) 式中,是第一类变形零阶贝塞尔函数,是一个可自由选择的参数。凯塞窗的优点:

1.凯塞窗可提供变化的过渡带宽,通过改变的值可达到最陡的过渡带;

2.凯塞窗具有与海明窗相匹敌的特性,通过调整的值,可将凯塞窗完全等价于海明窗;

3.凯塞窗最大旁瓣值比主瓣约低80dB ,在所有的窗函数中旁瓣抑制度最高。

综合以上窗函数特点,选用最优和适应性较强的凯塞窗来设计FIR 滤波器。

2.2.2 用频率抽样法设计FIR 滤波器

所谓频率抽样法就是从频域出发,根据频域的采样定理,对给定的理想滤波器的频域响应进行等间隔采样[4][5]

(2-12)

把当作待设计的滤波器频率响应的采样值,通过下式可求出滤波器的系统函数和频率响应:

110()1()()(1)1N N k k N H k H Z Z N

W Z ----==--∑ (2-13) 1

02()()()N j k H e H k k N ωπφω-==-

∑ (2-14) 其中,是一个内插函数:

(2-15)

由于频谱的有限个采样值恢复出来的频率响应实际上是对理想频率响应的逼近,因此,这种方法必然有一定的逼近误差。若被逼近的频率响应比较平滑,则各采样点之间的逼近误差较小;反之,则逼近误差较大。

为了提高逼近的质量,可以采用人为的扩展过渡带的方法,即在频率相应的过渡带内插入一个或多个比较连续的采样点,使过渡带比较连续,从而通带和阻带之间变化比较缓慢,使得设计得到的滤波器对理想滤波器的逼近误差较小。

2.2.3 利用切比雪夫逼近法设计FIR 滤波器

上述两种方法设计的FIR 滤波器的频率响应都不很理想,即通带不够平,阻带衰减不够大,过渡带过宽,频率边缘不能精确指定。Chebyshev 方法是最佳一致逼近法。该方法在数字信号处理中占有重要的定位,是设计FIR 滤波器最理想的方法。但是,该方法的原理较为复杂

[6][7]。

数字滤波器频域设计的最优方法——等波纹切比雪夫法,是采用最大误差最小准则得到最优数字滤波器,而且其最优解唯一。最优设计实际上是调节FIR 滤波器Z 域零点的分布,使得实际滤波器的频域响应和理想滤波器的频域响应之间的最大绝对误差最小。

对于I 型FIR 数字滤波器,其频响可表示为:

1()(0)2()cos()L

j e e e n A e h h n n ω

ω==+∑ (2-16) 其中,为滤波器系数,,M 为滤波器阶数。我们将研究对于设计具有广义线形相位的FIR 滤波器特别有效且广泛使用的算法Parks-McClellan [11]算法。该算法的基础是将滤波器的设计问题用公式表示成多项式逼近问题。该算法将滤波器阶数L 、带沿频率和,以及通带阻带最大误差比固定,令或为变量,有效而系统的改变(L+l)个非限制的脉冲响应值,从而达到满足设计指标的目的。 (2-16)式中的项可表示为不同幂次之和,形式为,这里是n 次切比雪夫多式,。

故,可改写

(2-17)

其中,是与相关的常数。我们定义逼近误差函数为:

()()[()()]j j d e E W H e A e ωωωω==- (2-18)

其中,为加权函数,要求、及只在区间有定义。最大误差最小准则即是在所要求频域上找出使 (2-18)式的最大加权逼近误差达最小的频响。即最佳逼近就是在意义上所求得的逼近。这里F 是的闭子集。使给定阶次的多项式的最大加权误差为最小的充要条件由交替定理给出。其表达式为[8]

1()()[()()](1)1,2...(2)j j j j i j j d e E W H e

A e i L ωωωωδ+==-=-=+ (2-19)

(2-20)

为最优误差。(2-19)、(2-20)式说明逼近误差至少要有L+2交错点,从而使最小,唯一。由(2-17)、(2-19)式可以解出系数组和。

另一种更为有效的方法是多项式内插公式,可求得

(2-21)其中,也即若由满足(2-17)、(2-19)式确定的并且由(2-21)式给出,则误差函数就会通过(L+2)个频率上的处。而为避免求解复杂方程组(2-17)、(2-19)来得出系数,Parks-McClellan采用Lagrange多项式内插公式,有

(2-22)

其中,,

1

2

1,

1

()

L

k k k L

i i k k i

d b x x

x x

+

+

=≠

==-

-

∏,这里令,。

通过(2-22)式可计算通带和阻带中多处频域的和值。若对通带和阻带中的所有,都有,则说明已达到最佳逼近。否则,需计算出新的极值频率。

2.3FIR滤波器的实现方法

本小节主要介绍FIR滤波器的基本结构和常用的滤波器的硬件实现方法。

2.3.1FIR滤波器的实现结构

FIR滤波器的传递函数一般有如下形式:

(2-23)其基本结构有以下几种:直接型,级联型,线性相位型,频率采样型[l][3]。

1.直接型

直接型也称卷积型或横截型,称为卷积型,是因差分方程是信号的卷积形式;称为横截型,是因为滤波器是一条输入延时链的横向结构。直接由差分方程可画出对应的网络结构。其结构图如图2-1所示。

11

00()()()()N N r n i y n b x n r h i x n i --===-=-∑∑ (2-24)

式中,为实数;为输入序列,为输出序列,单位采样响应。 x(n)h(0)Z -1Z -1h(N-1)

Z -1

h(1)h(2)h(N-2)y(n)

图2-1(a ) FIR 滤波器的直接型结构 x(n)h(N-3)Z -1Z -1h(N-1)

Z -1h(1)h(2)h(N-2)y(n)

Z -1h(0)

图2-1(b ) FIR 滤波器的直接型结构

直接型结构的特点是:

优点:简单直观,乘法运算量较少;

缺点:调整零点较难。

2.级联型(串联型)

当需要控制滤波器的传输零点时,可将传递函数分解为二阶实系数因子的形式:

2

120121()()N

k k k k H z Z Z βββ--==++∏ (2-25)

式中,为的变换;,,为实数。级联型结构如图2-2所示。

x(n)β22Z -1Z -1

β21β01β

β

β11y(n)

Z -1β0[N/2]β02β12Z -1Z -1Z -1 图2-2 FIR 滤波器的级联型结构

该结构的缺点是:所需要的系数比直接型的多;需要进行因式分解,而且较直接型需要更多的乘法器,乘法运算多于直接型。

3.线性相位型

FIR 滤波器的重要特点是可设计成具有严格线性相位的滤波器,此时满足偶对称或奇对称条件。其单位冲击响应有如下特性:

(2-26)

当N 为偶数时,12

(1)0()[][]N n N n n H z h n z Z -----==+∑

(2-27)

其网络结构(信号流图)如图2-3(a)所示

当N 为奇数,121[](1)201()[][]()2

N n n N n n N H z h n z Z h z -------=-=++∑ (2-28)

其网络结构(信号流图)如图2-3(b)所示

x(n)Z -1

Z -1h[N/2-1]h[0]h[1]y(n)Z -1h[N/2]

h[2]Z -1Z -1

Z -1

(a) N 为偶数 x(n)Z -1

Z -1h[(N-3)/2]h[0]h[1]y(n)Z -1h[(N-1)/2]h[2]Z -1Z -1

Z -1Z -1

(b) N 为奇数

图2-3 线性相位FIR 滤波器的直接型结构

该结构的优点是:简化网络结构。

4.频率采样型

频率采样型结构是一种用系数将滤波器参数化的一种实现结构。一个有限长序列可以由相同长度频域采样值唯一确定。

系统函数在单位圆上作N 等分取样就是单位取样响应的离散傅里叶变换。与系统函数之间的关系可用内插公式表示:

110()1()()(1)1N N k k N

H k H Z Z N

W Z ----==--∑ (2-29) 其中,

由上看出,FIR 系统可用一子FIR 系统和一子IIR 系统级联而成。其网络结构(信号流图)如图2-4所示 x(n)Z -N y(n)

H(1)

H(N-1)H(0)

W N 0

W N -1W N -N-1Z -1

Z -1

Z -1

...

图2-4 FIR 滤波器的频率采样型结构

频率采样型结构的特点有:

优点:

1.选频性好,适于窄带滤波,这时大部分为零,只有较少的二阶子网络;

2.不同的FIR 滤波器,若长度相同,可通过改变系数用同一个网络实现;

3.复用性好。

缺点:

1.具体实现时难免存在误差,零、极点可能不能正好抵消,造成系统不稳定;

2.结构复杂,采用的存贮器多。

FIR 滤波器常表示为直接型和转置型两种结构,两种结构的功能是等效的。从实现的难易程度上来分析,两种结构的表现是不一样的。直接型

结构的优点有两个,一是移位寄存器存储的是位宽较小的输入数据;二是当FIR滤波器为线性相位时,可以利用其系数对称的特点,将乘法器个数减半,加法器个数不变。事实上,线性相位FIR滤波器是用得最多的FIR滤波器,过去用资源有限的ASIC、FPGA设计阶数不多的对称系数FIR滤波器时就采用直接型结构。直接型的缺点是需要一个多输入加法器,当FIR阶数较多时,多输入加法器的实现复杂、迟延较大,直接型结构也不便于多个FIR滤波器级连扩展。转置型结构的优点是乘法器和加法器排列规则,特别便于大规模集成电路来设计实现,且多个FIR滤波器可直接级联扩展来扩展阶数。缺点是要存储各级乘加器的输出,这些输出的位宽较大,也无法利用线性相位FIR滤波器系数对称的特点。目前用资源丰富的FPGA等硬件设计长阶数FIR滤波器时,几乎都采用转置型结构,数据格式都是定点型的。

2.3.2FIR滤波器的实现方法

数字滤波器的实现方法一般有以下几种[9]:

1.用计算机软件实现

软件实现方法即是在通用的微型计算机上用软件实现。利用计算机的存储器、运算器和控制器把滤波所要完成的运算编成程序通过计算机来执行,软件可由使用者自己编写,也可以使用现成的。国内外的研究机构、公司已经推出了不同语言的信号滤波处理软件包。但是这种方法速度慢,难以对信号进行实时处理,虽然可以用快速傅立叶变换算法来加快计算速度,但要达到实时处理要付出很高的代价,因而该方法多用于教学与科研。

2.采用DSP(Digital Signal Processing)处理器来实现

DSP处理器是专为数字信号处理而设计的,如TI公司的TMS320CX 系列,AD公司的ADSP2IX,ADSP210X系列等。它的主要数字运算单元是一个乘累加器(Multiply-accumulator,MAC),能够在一个机器周期内完成一次乘累加运算,配有适合于信号处理的指令,具备独特的循环寻址和倒序寻址能力。这些特点都非常适合数字信号处理中的滤波器设计的有效实现,并且它速度快,成本低,在过去的20多年的时间里,软件可编程的DSP器件几乎统治了商用数字信号处理硬件的市场。

用DSP芯片实现数字滤波除了具有稳定性好、精确度高、不受环境影响外,还具有灵活性好的特点。用可编程DSP芯片实现数字滤波可通过修改滤波器的参数十分方便的改变滤波器的特性。

3.采用固定功能的专用信号处理器实现

专用信号处理器采用专用集成电路ASIC(Application Specific Integrated Circuits)来实现,适用于过程固定而又追求高速的信号处理任务,是以指定的算法来确定它的结构,使用各种随机逻辑器件组成的信号处理器。它们体积小、保密性好,具有极高的性能,然而灵活性差。

4.用FPGA等可编程器件实现

使用相关开发工具和VHDL等硬件开发语言,通过软件编程用硬件实现特定的数字滤波算法。这一方法由于具有通用性的特点并可以实现算法的并行运算,无论是作为独立的数字信号处理,还是作为DSP芯片的协作处理器都是比较活跃的研究领域。

通过比较这些方法可见:可以采用MATLAB等软件来学习数字滤波器的基本知识,计算数字滤波器的系数,研究算法的可行性,对数字滤波器进行前期的仿真。可以采用DSP或FPGA来实现硬件电路。本课题研究的重点集中在利用DSP来实现数字滤波的硬件电路。

2.4本章小结

本章主要介绍了FIR滤波器设计的理论基础,分别介绍了FIR滤波器的定义与分类、FIR滤波器的几种设计方法和实现方法,为后面FIR 滤波器的设计奠定理论基础。

第3章基于MATLAB的FIR滤波器的设计3.1MATLAB简介

MATLAB语言是当今国际上科学界最具影响力、也是最有活力的软件之一。它起源于矩阵运算,并己经发展成一种高度集成的计算机语言。它提供了强大的科学运算、灵活的程序设计流程、高质量的图形可视化与界面设计、便捷的与其他程序和语言接口的功能。MATLAB语言在教学及科学研究起着重大的作用。

MATLAB语言由美国The MathWorks开发[10],2003年推出了其全新的MATLAB6.5.l正式版。2004年9月正式推出Release 14(MATLAB7.0)的ServicePack 1。

MATLAB语言中提供了数字信号处理工具箱,使数字信号处理较以前更简洁方便且效果更好。在MATLAB中提供了一些滤波器的函数,使FIR滤波器的运算更加方便和快速。在MATLAB中提供的滤波函数有,此函数以经典的方法实现加窗线性相位FIR数字滤波器设计,可以设计

出低通、高通、带通和带阻滤波器;函数设计的FIR滤波器,其滤波器

的频率特性由矢量和决定,,分别为滤波器的期望幅频响应的频率相量和幅值相量。和的基本格式用于设计I型和Ⅱ型线性相立FIR滤波器,是

偶对称滤波器,I型和Ⅱ型的区别在于滤波器的阶数是偶数还是奇数。用于设计具有光滑,正弦过渡带的低通线性相位滤波器。用于实现IIR和FIR滤波器对数据的滤波,常用来计算滤波器对输入配响应。利用效率高灼基于FFT重叠相加算法实现对数据滤波,该函数只适用FIR滤波器。用于求数字滤波器的频率响应。并且提供了各种窗函数的函数,比如,(N) % 数组wd中返回N点布莱克曼窗函数

利用DSP实现数字滤波器

DSP技术及应用课程设计报告课设名称:利用DSP实现数字滤波器 学院:信息工程 专业:通信工程 班级:2012159 学号:201215925 姓名:高亮 辅导老师:李珺陈俊峰 时间:2015年12月29

目录 一.绪论 (1) 1.1设计背景 (1) 1.2设计要求 (1) 1.3设计思路简介 (1) 二.系统开发平台与环境 (1) 1.1CCS开发环境 (1) 三. FIR滤波器设计过程 (2) 3.1FIR滤波器基本理论 . (2) 3.2FIR滤波器的MATLAB实现 (4) 四FIR滤波器的DSP实现 (10) 五.CCS仿真图及结果 (12) 六.总结 (14) 七.参考文献 (15)

一. 绪论 1.1设计背景 在信号处理中,滤波占有十分重要的地位。数字滤波是数字信号处理的基本方法。数字滤波与模拟滤波相比有很多优点,它除了可避免模拟滤波器固有的电压漂移、温度漂移和噪声等问题外,还能满足滤波器对幅度和相位的严格要求。低通有限冲激响应滤波器(低通FIR滤波器)有其独特的优点,因为FIR系统只有零点,因此,系统总是稳定的,而且容易实现线性相位和允许实现多通道滤波器。 DSP(数字信号处理器)与一般的微处理器相比有很大的区别,它所特有的系统结构、指令集合、数据流程方式为解决复杂的数字信号处理问题提供了便利,本文选用TMS320C54X作为DSP处理芯片,通过对其编程来实现FIR滤波器。 对数字滤波器而言,从实现方法上,有FIR滤波器和无限冲激响应(IIR)滤波器之分。由于FIR滤波器只有零点,因此这一类系统不像IIR系统那样易取得比较好的通带与阻带衰减特性。但是FIR系统有自己突出的优点:①系统总是稳定的;②易实现线性相位;③允许设计多通带(阻带)滤波器。其中后两项是IIR系统不易实现的。 1.2设计要求 利用C语言在CCS环境中编写一个FIR滤波器程序,并能利用已设计好的滤波器对常用信号进行滤波处理。 1.3设计思路简介 在TMS320C54x系统开发环境CCS(Code Composer Studio)下对FIR 滤波器的DSP实现原理进行讨论。利用C语言设计相应的滤波器,通过实验仿真,从输入信号和输出信号的时域和频域曲线可看出在DSP上实现的FIR滤波器能完成预定的滤波任务。 二.系统开发平台与环境 1.1 CCS开发环境

基于DSP 的FIR滤波器的设计

基于TMS320VC5416 的FIR 数字滤波器设计与实现 论文摘要:在现代电子系统中,数字滤波器在语音处理、图像处理、模式识别以及各种随机信号分析中有着广泛的应用,且其波形传递系统中都越来越多的要求信道具有线性的相位特性,在这方面FIR滤波器具有独到的优点,它可以在幅度特性随意设计的同时保证精确严格的线性相位。本文以窗函数法设计线性相位FIR数字滤波器为例,研究有限冲击响应(FIR)滤波器的基本原理,介绍用MATLAB工具软件设计数字滤波器的方法和如何在定点TMS320VC5416 DSP芯片上设计实现连续数字滤波器。 关键词:FIR滤波器,TMS320V5416 一. 课题的目的以及意义 随着集成电路技术的发展,各种新型的大规模和超大规模集成电路不断涌现集成电路技术与计算机技术结合在一起,使得对数字信号处理系统功能的要求越来越强。 DSP 技术就是基于VLSI技术和计算机技术发展起来的一门重要技术,DSP 技术已在通信、控制信号处理、仪器仪表、医疗、家电等很多领域得到了越来越广泛的应用.在数字信号处理中数字滤波占有极其重要的地位。数字滤波在语音信号、图象处理模式识别和谱分析等领域中的一个基本的处理技术。数字滤波与模拟滤波相比数字滤波具有很多突出的优点,主要是因为数字滤波器是过滤时间离散信号的数字系统,它可以用软件(计算机程序)或用硬件来实现,而且在两种情况下都可以用来过滤实时信号或

非实时信号。尽管数字滤波器这个名称一直到六十年代中期才出现,但是随着科学技术的发展及计算机的更新普及,数字滤波器有着很好的发展前景。同时它也有完全取代模拟滤波器的时候,原因是数字滤波没有漂移,它能处理低频信号,数字滤波的频率响应特征可做成极接近于理想的特性,它可以做成没有插入损耗和有线性相位特性,可相当简单地获得自适应滤波,滤波器的设计者可以控制数字字长,因而可以精确地控制滤波器的精度,其中的道理是数字滤波随着滤波器参数的改变,很容易改变滤波器的性能。这一特点就能允许我们用一种程序滤波器来完成多重滤波任务。滤波器对幅度和相位特性的严格要求,可以避免模拟滤波器所无法克服的电压漂移、温度漂移和噪声等问题。用可编程DSP芯片实现数字滤波可通过修改滤波器的参数十分方便地改变滤波器的特性,因此我们有必要对滤波器的设计方法进行研究,理解其工作原理优化设计方法,设计开发稳定性好的滤波器系统。我们将通过DSP设计平台来实现较为重要的“FIR和自适应滤波器系统”并实现了它们的应用系统以TMS320VC5416芯片为核心的硬件电路,实现能独立完成滤波功能的系统从而通过本课题的研究。掌握滤波器的设计技术和原理能为在通信领域、信号处理领域等诸多领域中对数字滤波器的设计提供技术和准备。本科题的研究将为今后设计以DSP为核心部件的嵌入式系统集成提供技术准备,这不仅具有重要的理论意义同时还具有重要的现实意义。 二、FIR滤波器的DSP实现的技术指标及性能

数字信号处理习题集(附答案)

第一章数字信号处理概述 简答题: 1.在A/D变换之前和D/A变换之后都要让信号通过一个低通滤波器,它们分别起什么作用? 答:在A/D变化之前为了限制信号的最高频率,使其满足当采样频率一定时,采样频率应大于等于信号最高频率2倍的条件。此滤波器亦称为“抗混叠”滤波器。 在D/A变换之后为了滤除高频延拓谱,以便把抽样保持的阶梯形输出波平滑化,故又称之为“平滑”滤波器。 判断说明题: 2.模拟信号也可以与数字信号一样在计算机上进行数字信号处理,自己要增加一道采样的工序就可以了。 () 答:错。需要增加采样和量化两道工序。 3.一个模拟信号处理系统总可以转换成功能相同的数字系统,然后基于数字信号处理理论,对信号进行等效的数字处理。() 答:受采样频率、有限字长效应的约束,与模拟信号处理系统完全等效的数字系统未必一定能找到。因此数字信号处理系统的分析方法是先对抽样信号及系统进行分析,再考虑幅度量化及实现过程中有限字长所造成的影响。故离散时间信号和系统理论是数字信号处

理的理论基础。 第二章 离散时间信号与系统分析基础 一、连续时间信号取样与取样定理 计算题: 1.过滤限带的模拟数据时,常采用数字滤波器,如图所示,图中T 表示采样周期(假设T 足够小,足以防止混叠效应),把从)()(t y t x 到的整个系统等效为一个模拟滤波器。 (a ) 如果kHz T rad n h 101,8)(=π截止于,求整个系统的截止频 率。 (b ) 对于kHz T 201=,重复(a )的计算。 采样(T) () n h () n x () t x () n y D/A 理想低通T c πω=() t y 解 (a )因为当0)(8=≥ω πωj e H rad 时,在数 — 模变换中 )(1)(1)(T j X T j X T e Y a a j ωω=Ω= 所以)(n h 得截止频率8πω=c 对应于模拟信号的角频率c Ω为 8 π = ΩT c 因此 Hz T f c c 625161 2==Ω= π

数字信号处理课程设计毕业设计(论文)word格式

《数字信号处理》课程设计 作 业 院系:物理工程学院电子信息科学与技术 班级:1 学号:20092250103 姓名:冯军美

实验一:音乐信号音谱和频谱的观察 1.实验方案 读取音乐信号并将信号装换为单声道的,并输出信号的波形图和频谱图% 2.源程序 clear all; close all;clc [x,fs,bit]=wavread('F:\费玉清-一剪梅00_01_23-00_01_28.wav'); %读取音乐信号,其中x为截取的音乐信号 size(x) %看音乐信号是单声道还是双声道 sound(x,fs); %听原始音乐信号 x=x(:,1); %获取单声道音乐信号 N=length(x); %N为音乐信号的长度 figure plot(x) %画音乐信号的连续波形 grid on %产生虚线格 title('音乐信号时域波型') %标注图注 xlabel('Time') %x坐标 ylabel('Magnitude') %y坐标 F1=fft(x,N); %做音乐信号的N点快速傅里叶变换 w=2/N*[0:N-1]; %w为连续频谱的数字角频率横坐标 figure plot(w,abs(F1)) %连续频谱图 grid on title('音乐信号频域波型') xlabel('Frequency/Hz') ylabel('Magnitude') %不同抽样频率下听取的音乐信号 % sound(x,2*fs); sound(x,fs/2);

3.输出波形 0.5 1 1.5 2 2.5x 10 5 -1-0.8-0.6-0.4-0.200.20.40.60.8 1音乐信号时域波型 Time M a g n i t u d e 00.20.40.6 0.81 1.2 1.4 1.6 1.82 500 1000 1500 20002500 3000 音乐信号频域波型 Frequency/Hz M a g n i t u d e

IIR数字滤波器在TI DSP上的实现

IIR数字滤波器在TI DSP上的实现 数字滤波器是对数字信号进行滤波处理以得到期望的响应特性的离散时间系统。在众多通用数字信号处理器中,美国TI公司生产的TMS320系列单片DSP 在国际上占有较大市场,这种单片DSP把高速控制器的灵活性和阵列处理器的数值计算能力结合起来。 一、IIR数字滤波器结构原理 本文IIR数字滤波器的设计方法:借助于模拟滤波器的设计方法设计出模拟滤波器,利用冲激响应不变法或双线性变换法转换成数字滤波器,然后用硬件或软件实现。 从IIR数字滤波器的实现来看,有直接型、级联型、并联型等基本网络结构。其中直接Ⅱ型仅需要N级延迟单元,且可作为级联型和并联型结构中的基本单元。本文以二阶IIR滤波器的直接实现形式表示。其系统函数H(z)可以表示为: 在编程时,可以将变量和系数都存在DARAM中,采用循环缓冲区方式寻址,共需开辟4个缓冲区,用来存放变量和系数。 二阶IIR滤波器的直接IIR 型差分方程为: (3) 二、IIR数字滤波器在C54x上的设计与实现 1.IIR数字滤波器在TMS320VC5409 DSP上的实现流程 1.1根据指标确定滤波器的类型,设计出滤波器的参数; 1.2根据DSP的特点(字长、精度等)对参数进行取舍、量化,仿真; 1.3根据仿真结果对滤波器的结构、参数再次进行调整,直到满足要求为止; 1.4在DSP上用语言实现滤波器功能。 2.IIR数字滤波器在TMS320VC5409 DSP上的实现算法 从理论上说,可以用高阶IIR数字滤波器实现良好的滤波效果[2]。但由于DSP本身有限字长和精度的因素,加上IIR滤波器在结构上存在反馈回路,是递归型的,再者高阶滤波器参数的动态范围很大。这样一来造成两个后果:结果溢出和误差增大。解决此问题的有效方法是把高阶IIR数字滤波器简化成几个2阶

dsp滤波要点

数字信号处理 综合设计性实验报告 学院:电子信息工程学院 班级:自动化0706班 电子0701班 指导教师:高海林 学生:张越07213056 陈冠宇07214004 北京交通大学电工电子教学基地 2010年1月20日

利用DSP实现信号滤波 一:实验目的 实现离散信号滤波是DSP的基本功能,本实验中我们尝试实现分别了设计FIR 和IIR滤波器实现低通,高通,带通,带阻四种滤波器对正弦离散信号进行滤波。(1)学会编写滤波程序和输入信号程序。 (2)熟悉CCS集成开发环境,熟悉DSK板的使用。 (3)通过实验比较FIR和IIR在设计上和滤波效果上的区别。 二:FIR滤波器的设计 例:设计一个采样频率Fs为8000Hz,输入信号频率为1000HZ、2500HZ与4000HZ 的合成信号,通过设计FIR滤波器分别实现低通,高通,带通,带阻的滤波功能。 一:实验原理 一个线性位移不变系统的输出序列y(n)和输入序列x(n)之间的关系,应满 足常系数线性差分方程: x(n): 输入序列,y(n): 输出序列,ai、bi : 滤波器系数,N: 滤波器的阶数。在式上式中,若所有的ai 均为0,则得FIR 滤波器的差分方程: 对上式进行z 变换,可得FIR 滤波器的传递函数: FIR 滤波器的结构

FIR 滤波器的单位冲激响应h(n)为有限长序列。 若h(n)为实数,且满足偶对称或奇对称的条件,则FIR 滤波器具有线性相位特性。在数字滤波器中,FIR 滤波器具有如下几个主要特点: ① FIR 滤波器无反馈回路,是一种无条件稳定系统; ② FIR 滤波器可以设计成具有线性相位特性。 本实验程序设计的就是一种偶对称的线性相位滤波器。 程序算法实现采用循环缓冲区法。 二:算法原理: ①在数据存储器中开辟一个N个单元的缓冲区(滑窗),用来存放最新的N个输入样本; ②从最新样本开始取数; ③读完最老样本后,输入最新样本来代替最老样本,而其他数据位置不变; ④用BK 寄存器对缓冲区进行间接寻址,使缓冲区地址首尾相邻。 三:实验程序设计步骤 1.FIR滤波器设计 利用MATLAB中的FDA工具导出正确的参数。 2.产生滤波器输入信号文件 以下是一个产生输入信号的C语言程序,信号是频率为1000Hz、2500Hz和4000Hz 的正弦波合成的波形: #include #include

信号处理-习题(答案)

数字信号处理习题解答 第二章 数据采集技术基础 2.1 有一个理想采样系统,其采样角频率Ωs =6π,采样后经理想低通滤波器H a (j Ω)还原,其中 ?? ???≥Ω<Ω=Ωππ 3032 1 )(,,j H a 现有两个输入,x 1(t )=cos2πt ,x 2(t )=cos5πt 。试问输出信号y 1(t ), y 2(t )有无失真?为什么? 分析:要想时域采样后能不失真地还原出原信号,则采样角频率Ωs 必须大于等于信号谱最高角频率Ωh 的2倍,即满足Ωs ≥2Ωh 。 解:已知采样角频率Ωs =6π,则由香农采样定理,可得 因为x 1(t )=cos2πt ,而频谱中最高角频率ππ π32 621 =< =Ωh , 所以y 1(t )无失真; 因为x 2(t )=cos5πt ,而频谱中最高角频率ππ π32 652 => =Ωh , 所以y 2(t )失真。 2.2 设模拟信号x (t )=3cos2000πt +5sin6000πt +10cos12000πt ,求: (1) 该信号的最小采样频率; (2) 若采样频率f s =5000Hz ,其采样后的输出信号; 分析:利用信号的采样定理及采样公式来求解。 ○ 1采样定理 采样后信号不失真的条件为:信号的采样频率f s 不小于其最高频

率f m 的两倍,即 f s ≥2f m ○ 2采样公式 )()()(s nT t nT x t x n x s === 解:(1)在模拟信号中含有的频率成分是 f 1=1000Hz ,f 2=3000Hz ,f 3=6000Hz ∴信号的最高频率f m =6000Hz 由采样定理f s ≥2f m ,得信号的最小采样频率f s =2f m =12kHz (2)由于采样频率f s =5kHz ,则采样后的输出信号 ? ?? ? ????? ??-???? ????? ??=? ??? ????? ??+???? ????? ??-???? ????? ??=? ??? ????? ??++???? ????? ??-+???? ????? ??=? ??? ????? ??+???? ????? ??+???? ????? ??=? ?? ? ??====n n n n n n n n n n n f n x nT x t x n x s s nT t s 522sin 5512cos 13512cos 10522sin 5512cos 35112cos 105212sin 5512cos 3562cos 10532sin 5512cos 3)()()(πππππππππππ 说明:由上式可见,采样后的信号中只出现1kHz 和2kHz 的频率成分, 即 kHz f f f kHz f f f s s 25000200052150001000512211 ======,, 若由理想内插函数将此采样信号恢复成模拟信号,则恢复后的模拟信号

基于Matlab的数字图像处理系统毕业设计论文

论文(设计)题目: 基于MATLAB的数字图像处理系统设计 姓名宋立涛 学号201211867 学院信息学院 专业电子与通信工程 年级2012级 2013年6月16日

基于MATLAB的数字图像处理系统设计 摘要 MATLAB 作为国内外流行的数字计算软件,具有强大的图像处理功能,界面简洁,操作直观,容易上手,而且是图像处理系统的理想开发工具。 笔者阐述了一种基于MATLAB的数字图像处理系统设计,其中包括图像处理领域的大部分算法,运用MATLAB 的图像处理工具箱对算法进行了实现,论述了利用系统进行图像显示、图形表换及图像处理过程,系统支持索引图像、灰度图像、二值图像、RGB 图像等图像类型;支持BMP、GIF、JPEG、TIFF、PNG 等图像文件格式的读,写和显示。 上述功能均是在MA TLAB 语言的基础上,编写代码实现的。这些功能在日常生活中有很强的应用价值,对于运算量大、过程复杂、速度慢的功能,利用MATLAB 可以既能快速得到数据结果,又能得到比较直观的图示。 关键词:MATLAB 数字图像处理图像处理工具箱图像变换

第一章绪论 1.1 研究目的及意义 图像信息是人类获得外界信息的主要来源,近代科学研究、军事技术、工农业生产、医学、气象及天文学等领域中,人们越来越多地利用图像信息来认识和判断事物,解决实际问题,由此可见图像信息的重要性,数字图像处理技术将会伴随着未来信息领域技术的发展,更加深入到生产和科研活动中,成为人类生产和生活中必不可少的内容。 MATLAB 软件不断吸收各学科领域权威人士所编写的实用程序,经过多年的逐步发展与不断完善,是近几年来在国内外广泛流行的一种可视化科学计算软件。MATLAB 语言是一种面向科学与工程计算的高级语言,允许用数学形式的语言来编写程序,比Basic、Fortan、C 等高级语言更加接近我们书写计算公式的思维方式,用MATLAB 编写程序犹如在演算纸上排列出公式与求解问题一样。它编写简单、编程效率高并且通俗易懂。 1.2 国内外研究现状 1.2.1 国内研究现状 国内在此领域的研究中具有代表性的是清华大学研制的数字图像处理实验开发系统TDB-IDK 和南京东大互联技术有限公司研制的数字图像采集传输与处理实验软件。 TDB-IDK 系列产品是一款基于TMS320C6000 DSP 数字信号处理器的高级视频和图像系统,也是一套DSP 的完整的视频、图像解决方案,该系统适合院校、研究所和企业进行视频、图像方面的实验与开发。该软件能够完成图像采集输入程序、图像输出程序、图像基本算法程序。可实现对图像信号的实时分析,图像数据相对DSP独立方便开发人员对图像进行处理,该产品融合DSP 和FPGACPLD 两个高端技术,可以根据用户的具体需求合理改动,可以分析黑白和彩色信号,可以完成图形显示功能。 南京东大互联技术有限公司研制的数字图像采集传输与处理实验软件可实现数字图像的采集、传输与处理。可利用软件及图像采集与传输设备,采集图像并实现点对点的数字图像传输,可以观察理解多种图像处理技术的效果和差别,

数字滤波器的MATLAB设计与DSP上的实现

数字滤波器的MAT LAB设计与 DSP上的实现 数字滤波器的MATLAB 设计与DSP上的实现 公文易文秘资源网佚名2007-11-15 11:56:42我要投稿添加到百度搜藏 摘要:以窗函数法设计线性相位FIR数字滤波器为例,介绍用MATLAB工具软件设计数字滤波器的方法和在定点DSP上的实现。实现时,先在CCS5000仿真开发,然后将程序加载到TMS320VC5409评估板上实时运行,结果实现了目标要求。文中还讨论了定标、误差、循环寻址等在DSP上实现的关键问题。关键词 摘要:以窗函数法设计线性相位 FIR数字滤波器为例,介绍用 MATLAB工具软件设计数字滤波器的方法和在定点DSP上的实现。实现时,先在 CCS5000仿真开发,然后将程序加载到 TMS320VC5 409评估板上实时运行,结果实现了目标要求。文中还讨论了定标、误差、循环寻址等在DSP上实 现的关键问题。 关键词:数字滤波器MATLAB DSP 引言 随着信息时代和数字世界的到来,数字信号处理已成为今一门极其重要的学科和技术领域。数字信号处理在通信、语音、图像、自动控制、雷达、军事、航空航天、医疗和家用电器等众多领域得到了广泛的应

用。在数字信号处理应用中,数字滤波器十分重要并已获得广泛应用。 1数字滤波器的设计 1.1数字滤波器设计的基本步骤 数字滤波器根据其冲激响应函数的时域特性,可分为两种,即无限长冲激响应(IIR )滤波器和有限长冲激响应(FIR )滤波器。IIR滤波器的特征是,具有无限持续时间冲激响应。种滤波器一般需要用递归模型来实现,因而有时也称之为递归滤波器。FIR滤波器的冲激响应只能延续一定时间, 在工程实际中可以采用递归的方式实现,也可以采用非递归的方式实现。数字滤波器的设计方法有多种,如双线性变换法、窗函数设计法、插值逼近法和Chebyshev逼近法等等。随着 MATLAB软件尤 其是MATLAB的信号处理工作箱的不断完善,不仅数字滤波器的计算机辅助设计有了可能,而且还可以使设计达到最优化。 数字滤波器设计的基本步骤如下: (1确定指标 在设计一个滤波器之前,必须首先根据工程实际的需要确定滤波器的技术指标。在很多实际应用中,数字滤波器常常被用来实现选频操作。因此,指标的形式一般在频域中给岀幅度和相位响应。幅度指标主要以两种方式给岀。第一种是绝对指标。它提供对幅度响应函数的要求,一般应用于FI R滤波器的设计。第二种指标是相对指标。它以分贝值的形式给岀要求。在工程实际中,这种指标最受欢迎。对于相位响应指标形式,通常希望系统在通频带中人有线性相位。运用线性相位响应指标进行滤波器设计具有如下优点:①只包含实数算法,不涉及复数运算;②不存在延迟失真,只有固定数量的延迟;③长度为N的滤波器(阶数为N-1),计算量为N/2数量级。因此,本文中滤波器的设计就以线性相位FIR滤波器的设计为例。 (2)逼近

数字信号处理基础书后题答案中文版

Chapter 2 Solutions 2.1 最小采样频率为两倍的信号最大频率,即44.1kHz 。 2.2 (a)、由ω = 2πf = 20 rad/sec ,信号的频率为f = 3.18 Hz 。信号的奈奎斯特采样频率为6.37 Hz 。 (b)、3 5000π=ω,所以f = 833.3 Hz ,奈奎斯特采样频率为1666.7 Hz 。 (c)、7 3000π=ω,所以f = 214.3 Hz ,奈奎斯特采样频率为428.6 Hz 。 2.3 (a) 1258000 1f 1T S S ===μs (b)、最大还原频率为采样频率的一半,即4000kHz 。 2.4 ω = 4000 rad/sec ,所以f = 4000/(2π) = 2000/π Hz ,周期T = π/2000 sec 。因此,5个周期为5π/2000 = π/400 sec 。对于这个信号,奈奎斯特采样频率为2(2000/π) = 4000/π Hz 。所以采样频率为f S = 4(4000/π) = 16000/π Hz 。因此5个周期收集的采样点为(16000/π samples/sec )(π/400 sec) = 40。 2.5 ω = 2500π rad/sec ,所以f = 2500π/(2π) = 1250 Hz ,T = 1/1250 sec 。因此,5个周期为5/1250 sec 。对于这个信号,奈奎斯特采样频率为2(1250) = 2500 Hz ,所以采样频率为f S = 7/8(2500) = 2187.5 Hz 。采样点数为(2187.5 点/sec)(5/1250 sec) = 8.75。这意味着在模拟信号的五个周期内只有8个点被采样。事实上,对于这个信号来说,在整数的模拟周期中,是不可能采到整数个点的。 2.6 2.7 信号搬移发生在kf S ± f 处,换句话说,频谱搬移发生在每个采样频率的整数倍 0 10 20 30 40 50 60 70 80 90 100 110 120 130 140 150 频率/kHz

数字信号处理课程设计论文概论

数字信号处理课程设计 姓名: 学号: 专业: 班级: 指导老师:

目录 题目一:离散时间序列的时域分析 (2) 1.1实现离散时间序列 (2) 1.2序列的卷积 (2) 题目二:利用DFT进行周期信号频谱分析 (4) 2.1连续信号频谱分析比较 (5) 2.2利用DFT进行运算 (7) 题目三:离散系统的分析 (9) 3.1求系统的响应 (9) 3.2分析系统的频域特性 (10) 题目四:数字滤波器的设计 (12) 4.1高通滤波器的设计: (13) 总结: (16)

题目一:离散时间序列的时域分析 对离散时间序列的时域分析,通过MATLAB进行离散时间序列的描述,对离散时间序列进行卷积运算,将不同形式的信号波形用不同的时间函数来描述,实现信号的卷积运算。 1.1实现离散时间序列 (1)x0=2*sin(pi/3*n0+3*pi/4) (2)x1=2^n1 (3)单位抽样序列 (4)单位阶跃序列 程序如下: A=2;N=20;phi=3*pi/4; w=pi/3; n0=-5:0.5:10; x0=A*sin(w*n0+phi); a=2;N=20; n1=0:0.3:6; x1=a.^n1; n2=-20:20; x2=[zeros(1,20),1,zeros(1,20)]; n3=-20:20; x3=[zeros(1,20),1,ones(1,20)]; subplot(2,2,1);plot(n0,x0);stem(n0,x0); title('正弦序列');ylabel('x(n)');xlabel('n'); subplot(2,2,2);plot(n1,x1);stem(n1,x1); title('指数序列');xlabel('n');ylabel('x(n)'); subplot(2,2,3);stem(n2,x2); title('单位抽样序列');xlabel('n');ylabel(' ) (n '); subplot(2,2,4);stem(n3,x3); title('单位阶跃序列');xlabel('n');ylabel('u(n)'); 1.2序列的卷积 程序如下: A=2;N=20;phi=3*pi/4;

数字滤波器的DSP实现

摘要 当前我们正处于数字化时代,数字信号处理技术受到了人们的广泛关注,其理论及算法随着计算机技术和微电子技术的发展得到了飞速的发展,被广泛应用于语音图象处理、数字通信、谱分析、模式识别、自动控制等领域。数字滤波器是数字信号处理中最重要的组成部分之一,几乎出现在所有的数字信号处理系统中。数字滤波器是指完成信号滤波处理的功能,用有限精度算法实现的离散时间线性非时变系统,其输入是一组(由模拟信号取样和量化的)数字量,其输出是经过变换的另一组数字量。相对于模拟滤波器,数字滤波器没有漂移,能够处理低频信号,频率响应特性可做成非常接近于理想的特性,且精度可以达到很高,容易集成等,这些优势决定了数字滤波器的应用越来越广泛。同时DSP(数字信号处理器)的出现和FPGA的迅速发展也促进了数字滤波器的发展,并为数字滤波器的硬件实现提供了更多的选择。 本论文的主要研究了数字滤波器的基本理论及其算法。基于TI公司的数字信号处理器TMS320VC5509设计了一款稳定度高,低功耗的数字滤波器系统,并完成了软硬调试工作。主要工作如下: (1)研究了数字滤波器的基本理论,以及数字滤波器的实现方法。通过学习识字滤波器 的结构、数字滤波器的设计理论,掌握了各种数字滤波器的原理和特性。为实现数字滤波器奠定了理论基础。 (2)研究分析了如何利用MATLAB仿真软件来设计出符合各种要求的数字滤波器。并采用 了相关的函数设计了几款常用的数字滤波器,并得到了滤波器的相关系数,为利用DSP实现数字滤波做好了一些前期的工作。 (3)根据TI公司5000系列数字信号处理器的基本结构和特征,充分利用其片上资源t结 合MATLAB软件的仿真,用软件实现高性能稳定的数字滤波器。 关键字:数字滤波器,DSP,IIR(无限长单位脉冲响应),FIR(有限长单位脉冲响应)

DSP的FIR设计(低通滤波)C语言编写

一、设计目的 低通滤波器设计。 本设计中使用的信号为 信息信号: signal=sin(2*pi*sl*n*T) 高频噪声1:noise1=0.7*sin(2*pi*ns1*n*T) 高频噪声2:noise2=0.4*sin(2*pi*ns2*n*T) 混合信号: x=(signal+noise1+noise2) 其中sl=500Hz ,ns1=3000Hz ,ns2=8000Hz ,T=1/20000。混合信号波形为滤波器输入信号波形,信息信号波形为输出信号波形,滤波器的效果为滤除两个高频噪声。 二、FIR 滤波器基本理论 (1)FIR 滤波器的特点 数字滤波器的功能,就是把输入序列通过一定的运算变换成输出序列。它的实现方法有很多,其中比较常用到的是无限长脉冲响应滤波器 IIR 和有限长脉冲响应滤波器FIR 两种。 在计算量相等的情况下,IIR 数字滤波器比FIR 滤波器的幅频特性优越,频率选择性也好。但是,它有着致命的缺点,其相位特性不好控制。它的相位特性 )argH( )f(ω ωj e =是使频率产生严重的非线性的原因。但是在图像处理、数据传 输等波形传递系统中都越来越多的要求信道具有线性的相位特性。在这方面 FIR 滤波器具有它独特的优点,设FIR 滤波器单位脉冲响应h(n)长度为N ,其系统函数H(z)为 ∑-=-= 1 )()(N n n z n h z H H(z)是1 -z 的(N-1)次多项式,它在z 平面上有(N-1)个零点,原点z=0是(N-1)阶重极点。因此,H(z)永远稳定,它可以在幅度特性随意设计的同时,保证精确、严格的线性相位。 (2)FIR 滤波器的基本结构 数字滤波是将输入的信号序列,按规定的算法进行处理,从而得到所期望的输出序列,FIR 滤波器的差分方程为: ∑-=-= 1 )()(N k k k n x a n y 对上式进行Z 变换得到FIR 滤波器的传递函数为: ()() () ∑-=-= = 1 N i k k z b z X z Y z H

数字信号处理基础书后题答案中文版

数字信号处理基础书后题答案中文版

Chapter 2 Solutions 2.1 最小采样频率为两倍的信号最大频率,即44.1kHz 。 2.2 (a)、由ω = 2πf = 20 rad/sec ,信号的频率为f = 3.18 Hz 。信号的奈奎斯特采样频率为6.37 Hz 。 (b)、35000π =ω,所以f = 833.3 Hz ,奈奎斯特采样频率为1666.7 Hz 。 (c)、7 3000π =ω,所以f = 214.3 Hz ,奈奎斯特采样频率为428.6 Hz 。 2.3 (a) 1258000 1f 1T S S === μs (b)、最大还原频率为采样频率的一半,即4000kHz 。 2.4 ω = 4000 rad/sec ,所以f = 4000/(2π) = 2000/π Hz ,周期T = π/2000 sec 。因此,5个周期为5π/2000 = π/400 sec 。对于这个信号,奈奎斯特采样频率为2(2000/π) = 4000/π Hz 。所以采样频率为f S = 4(4000/π) = 16000/π Hz 。因此5个周期收集的采样点为(16000/π samples/sec )(π/400 sec) = 40。 2.5 ω = 2500π rad/sec ,所以f = 2500π/(2π) = 1250 Hz ,T = 1/1250 sec 。因此,5个周期为5/1250 sec 。对于这个信号,奈奎斯特采样频率为2(1250) = 2500 Hz ,所以采样频率为f S = 7/8(2500) = 2187.5 Hz 。采样点数为(2187.5 点/sec)(5/1250 sec) = 8.75。这意味着在模拟信号的五个周期内只有8个点被采样。事实上,对于这个信号来说,在整数的模拟周期中,是不可能采到整数个点的。 2.7 信号搬移发生在kf S ± f 处,换句话说,频谱搬移发生在每个采样频率的整数 倍 -200 200 400 600 800 1000 1200 0.10.20.30.40.50.60.70.80.91 幅度 频

《数字信号处理与应用》课程论文

《数字信号处理与应用》课程论文题目:基于DSP和FPGA的通用数字信号 处理系统设计 系部 专业 学号 姓名 2014年6月7日

基于DSP和FPGA的通用数字信号处理系统设计 摘要 随着电子设备结构和功能的日益复杂,对其内部使用的数字信号处理系统在体积和功耗方面提出了更高的要求?结合以上背景,设计了一种体积小?功耗低的通用数字信号处理系统?该系统利用DSP配合FPGA为硬件架构,以TMS320VC5509ADSP为数据处理核心,通过FPGA对USB?ADC和DAC等外围设备进行控制,并可实现频谱分析?数字滤波器等数字信号处理算法?硬件调试结果表明,该系统满足设计要求,可应用于实际工程和课堂教学等多个领域? 关键词:数字信号处理低功耗DSP FPGA

目录 一引言 (1) 二系统主要功能和技术指标 (2) 三硬件设计 (3) 3.2.1DSP最小系统设计 (3) 3.2.2程序存储器设计 (4) 3.3.1USB通信接口设计 (4) 3.3.2信号发生电路设计 (5) 3.3.3信号采集电路设计 (6) 3.3.4语音电路设计 (7) 四软件设计 (8) 五系统测试 (10) 六结论 (11) 参考文献 (12)

一引言 随着计算机技术和电子技术的高速发展,数字信号处理理论和方法已成为众多研究领域的重要研究基础,被广泛应用在航空航天?自动化控制?通信等领域?然而,数字信号处理系统功能日益齐全,结构也越来越复杂,导致其体积和功耗不断增加,对电子设备的运行造成了严重的影响?因此,减小数字信号处理系统的体积和功耗,对降低整个电子系统的运营成本?提高系统可靠性具有重要意义? TI公司5000系列的数字信号处理器TMS320VC5509A具有较快的数字信号处理能力,同时具有低功耗?封装小?价格低等优点,被广泛的应用于数字信号处理领域中?本文充分利用了TMS320VC5509A的以上优势,同时结合FPGA的并行控制能力,实现了体积小?功耗低的通用数字信号处理系统?

IIR数字滤波器的DSP实现

湖南科技大学 信息与电气工程学院 《课程设计报告》 题目:IIR数字滤波器的DSP实现 专业:电子信息工程 班级:电子二班 姓名:高二奎 学号: 1104030205 指导教师:尹艳群 2015年 1月 8 日

信息与电气工程学院 课程设计任务书 2014—2015学年第一学期 专业:电子信息工程班级:电子二班学号: 1104030205姓名:高二奎 课程设计名称: DSP原理及应用 设计题目: IIR数字滤波器的DSP实现 完成期限:自 2015 年 1 月 1 日至 2015 年 1 月 8 日共 1 周 设计依据、要求及主要内容(可另加附页): 1、设计目的:通过课程设计,使学生综合运用DSP技术课程和其他有关先修课程的理论和生产实际知识去分析和解决具体问题的能力得到提高,并使其所学知识得到进一步巩固、深化和发展。通过课程设计初步培养学生对工程设计的独立工作能力,学习设计的一般方法。通过课程设计树立正确的设计思想,提高学生分析问题、解决问题的能力。通过课程设计训练学生的设计基本技能,如计算、绘图、查阅设计资料和手册,熟悉标准与规范等。 2、要求: 1.熟悉DSP处理器及其结构性能,掌握DSP芯片配套开发工具的使用方法。2.按要求设计出硬件电路。 3.画出硬件连接原理图,并对硬件工作原理进行说明。 4.给出软件流程图及编写程序,每一条指令的后面附上相应的注释。 5.进行软、硬件调试,检查是否达到相关的功能。 6.写出调试方法。 7.设计报告结尾附上心得体会。 3、主要内容:熟悉5410DSP的MCBSP的使用,了解AD50的结构,掌握AD50各寄存器的意义及其设置,掌握AD50与DSP的接口,AD50的通讯格式及AD50的DA实验。 指导教师(签字): 批准日期:年月日

DSP高通滤波器课程设计报告

D S P课程设计报告 题目:FIR高通滤波器设计 姓名 学号 教学院系 专业年级 指导教师

DSP课程设计 目录 一、设计题目 (1) 二、设计目标 (1) 三、算法研究与参数计算 (1) 1、FIR的原理和参数生成公式 (1) 2、利用MATLAB计算滤波系数 (1) 3、输入信号参数计算 (2) 四、编写源程序 (3) 五、调试过程 (4) 1、调试前准备 (5) 2、MATLAB的使用 (5) 3、编写及编译程序 (5) 4、设置断点和探针 (6) 5、打开观察窗口 (6) 六、实验结果及分析 (6) 1、输入信号的时域波形和频域波形 (6) 2、输出信号的时域波形和频域波形 (7) 七、设计心得 (8)

1 一、设计题目 FIR 高通滤波器设计 二、设计目标 设计一个FIR 高通滤波器,通带边界频率为6000Hz ,采样频率为20000Hz 。FIR 滤波器的设计用MA TLAB 窗函数法进行。 三、算法研究与参数计算 1、FIR 的原理和参数生成公式 图3-1 2、利用MATLAB 计算滤波系数 在MATLAB 界面输入图3-2所示程序,可得到滤波系数并生成INC 文件。 图 3-2

DSP 课程设计 2 输入freqz (y ,1,512),MATLAB 中显示高通滤波器的滤波特性曲线。如图3-3所示。 图3-3 3、输入信号参数计算 MATLAB 中输入图3-4中所示程序,包含两种频率成分的正弦信号,一种信号频率1000Hz ,一种信号6000Hz 。 图3-4 其频谱特性曲线如图3-5。 图3-5

FIR高通滤波器设计 四、编写源程序 参考资料,编写汇编语言源程序: HIGHPASS .set 1 ;if you want to use ,please set the value to 1 .global start,fir .mmregs COFF_FIR_START: .sect "coff_fir" .copy "0126.inc" K_FIR_BFFR .set 64 d_data_buffer .usect "fir_bfr",64 FIR_DP .usect "fir_vars",0 d_filin .usect "fir_vars",1 output .usect "fir_vars",1 input .usect "fir_vars",1 d_filout .usect "fir_vars",100h stacksize .set 256 stack .usect "fir_vars",stacksize .asg AR4,FIR_DATA_P .asg AR6,INBUF_P .asg AR7,OUTBUF_P .asg AR3,OUTBUF .asg AR2,INBUF .sect "fir_prog" nop start: stm #stack+stacksize,SP LD #FIR_DP,DP STM #d_data_buffer,FIR_DATA_P RPTZ A,#K_FIR_BFFR-1 STL A,*FIR_DATA_P+ STM #d_filin,INBUF_P 3

数字信号处理习题及答案

==============================绪论============================== 1. A/D 8bit 5V 00000000 0V 00000001 20mV 00000010 40mV 00011101 29mV ==================第一章 时域离散时间信号与系统================== 1. ①写出图示序列的表达式 答:3)1.5δ(n 2)2δ(n 1)δ(n 2δ(n)1)δ(n x(n)-+---+++= ②用δ(n) 表示y (n )={2,7,19,28,29,15} 2. ①求下列周期 ) 5 4sin( )8 sin( )4() 51 cos()3() 54sin()2() 8sin( )1(n n n n n π π π π - ②判断下面的序列是否是周期的; 若是周期的, 确定其周期。 (1)A是常数 8ππn 73Acos x(n)??? ? ??-= (2))8 1 (j e )(π-=n n x 解: (1) 因为ω= 73π, 所以314 π2=ω, 这是有理数, 因此是周期序列, 周期T =14。 (2) 因为ω= 81, 所以ω π2=16π, 这是无理数, 因此是非周期序列。 ③序列)Acos(nw x(n)0?+=是周期序列的条件是是有理数2π/w 0。

3.加法 乘法 序列{2,3,2,1}与序列{2,3,5,2,1}相加为__{4,6,7,3,1}__,相乘为___{4,9,10,2} 。 移位 翻转:①已知x(n)波形,画出x(-n)的波形图。 ② 尺度变换:已知x(n)波形,画出x(2n)及x(n/2)波形图。 卷积和:①h(n)*求x(n),其他0 2 n 0n 3,h(n)其他03n 0n/2设x(n) 例、???≤≤-=???≤≤= }2 3 ,4,7,4,23{0,h(n)*答案:x(n)= ②已知x (n )={1,2,4,3},h (n )={2,3,5}, 求y (n )=x (n )*h (n ) x (m )={1,2,4,3},h (m )={2,3,5},则h (-m )={5,3,2}(Step1:翻转) 解得y (n )={2,7,19,28,29,15} ③(n)x *(n)x 3),求x(n)u(n u(n)x 2),2δ(n 1)3δ(n δ(n)2、已知x 2121=--=-+-+= }{1,4,6,5,2答案:x(n)= 4. 如果输入信号为 ,求下述系统的输出信号。

相关文档
相关文档 最新文档