文档库 最新最全的文档下载
当前位置:文档库 › 基于AD9851芯片的DDS脉冲发生器

基于AD9851芯片的DDS脉冲发生器

基于AD9851芯片的DDS脉冲发生器
基于AD9851芯片的DDS脉冲发生器

一种基于AD9851芯片的DDS脉冲发生器

盛刚保,滕功清

(北京机械工业学院理学院北京 100085)

摘要:以DDS芯片AD9851为核心设计一种频率、相位、幅度可调的脉冲发生器,其频率范围为1KHz—70MHz,频率步进为1Hz,相位精度为11.25o。采用7阶椭圆低通滤波器,使信号具有快速衰减的幅频特性。样机具有功耗低,体积小,使用方便等特点。

关键词:DDS;脉冲发生器;椭圆低通滤波器

A pulse generator of DDS based on AD9851

Sheng Gangbao, Teng Gongqing

(School of Science, Beijing institute of machinery, Beijing 100085,China) Abstract: In this paper design a pulse generator based on AD9851 DDS (direct digital frequency synthesis),the frequency ,phase and amplitude can be adjusted conveniently. The frequency range is from 1KHz to 70MHz and the step is 1Hz and the precision of phase is 11.25o.The use of 7th-order low-pass elliptic filter makes the signal the rapid-decay magnitude-frequency characteristics. The design has low power,small size and easy use.

Key words: DDS;pulse generator;Low-pass elliptic filter

1.引言

DDS(Direct Digital Synthesize)即直接数字合成技术,利用该技术可以用数字控制方法从一个参考频率源产生多种频率的信号源。在应用中可以满足信号源高精度、高分辨率等要求。采用该技术生产的产品已广泛应用于信号发生器、医学成像、无线、雷达、卫星通信以及各种电子测量等领域中。设计中采用AD公司生产的DDS芯片AD9851,以及TI公司生产的超低功耗微处理器MSP430F169为核心构建DDS系统,具有转换速度快,分辨率高,频率相位在线可调,功耗低等优点,采用功耗处理器MSP430F169和椭圆低通滤波器是设计的两大特点。

2.DDS基本原理及AD9851的功能特点

2.1 DDS基本原理

DDS的基本原理是使用高稳定的参考源时钟来量化抽样时间间隔,利用采样定理,直接对要产生的信号进行抽样、量化和信号映射,然后经过D/A 转换和低通滤波器,输出需要的模拟信号,其基本的电路原理可用图1来表示。

图1 DDS原理框图

DDS系统的核心是相位累加器,它由N位加法器与N位累加寄存器级联构成。每来一个时钟脉冲fs,相位累加器的输出就增加一个步长K的相位增加量,相位增加量的大小由频率控制字确定。相位寄存器的输出与相位控制字相加,然后输入到正弦查询表地址上。正弦查询表包含一个周期正弦波的数字幅度信息,每个地址对应于正弦波中0~360度范围的一个相位点,用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址,这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换。波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。DDS在相对带宽、频率转换时间、高分辨力、相位连续性、正交输出以及集成化等一系列性能指标方面远远超过了传统频率合成技术所能达到的水平,为系统提供了优于模拟信号源的性能。

2.2 AD9851的功能特点

设计中应用的是AD公司生产的AD9851芯片,原理框图如图2所示。

串行输入并行输入

图2 AD9851内部结构

AD9851的性能特点为:(1)提供可选择的片内6倍频乘法器,允许最高工作时钟180MHZ ;(2)10位D/A 转换器;(3)内含一个高速比较器;(4)采用32位频率控制字;(5)内部使用5位相位调制字;(6)工作电压2.7-5V 。

如果相位累加器的位数为N ,相位控制字的值为FN ,频率控制字的位数为M ,频率控制字的值为FM ,系统外部参考时钟频率为30MHz,6 倍参考时钟倍乘器使能,那么经过内部6倍参考时钟倍乘器后,可得到AD9851内部工作时钟Fc 为180MHz,此时最终合成信号的频率可由公式(1)来决定,合成信号的相位由公式(2)来决定。

2F F M C

F N

=

(1)

22N

M

F πθ=

(2)

3.硬件设计与软件实现 3.1硬件设计

系统以TI 公司生产的低功耗单片机

MSP430F169[1]

为控制核心进行数据处理及频率控制字的发送,图3是系统硬件的整体连接框图。 MSP430F169单片机具有16位RISC 结构,CPU 中的16个寄存器和常数产生器使芯片能达到高的代码效率。单片机在1.8V-3.6V 电压、1MHz 的时钟频率下运行,耗电电流在0.1uA —400uA 之间。单片机是基于FLASH 型的,可以实现写入和擦除功能,另外提供了JTAG 接口,对于在线调试非常方便。

图3中,MSP430F169的引脚P4.0-P4.7与AD9851的D0-D7相连,作为AD9851的并行数据输入端口,P6.3,P6.4的输出数据对AD9851的W_CLK 、FQ_UD 进行控制。AD9851的外部时钟选用30M 有源晶振,能保证DDS 输出信号的频率稳定性和精度。

图3 系统硬件结构图

因为AD9851的输出是一个抽样信号,所以它的输出频率服从乃奎斯特抽样定理。特别地,它的输出频率普包含基本频率,重叠频率。重叠频率是

在系统时钟的整数倍频率±所选输出频率时产生的。一般可以使用的带宽范围是0-0.5系统时钟频率。

在单片机P6.3,P6.4控制下,将单片机FLASH 里面存储的40位控制字写入到AD9851的相位和频率寄存器中。此时AD9851输出带有更高频率的正弦信号,经过外部椭圆滤波器后,由VINP 进入AD9851内部高速比较器,最后由VOUTP 输出得到稳定性好的方波。

设计中,AD9851采用180M 系统时钟,产生一个输出频率为8M 的方波,故理论上设计的滤波器截止频率低于172M 都可,此处选择截止频率为50M 。

滤波器应用要求有陡峭的频率响应特性,切比雪夫类滤波器满足要求,但它在时域部分的回响和脉冲尖刺特性差。巴特沃斯滤波器缺点是过渡带不够陡峭;椭圆函数滤波器通带内有起伏,阻带内也有起伏,过渡带陡峭。

理想的滤波器应当有平滑的时域特性又兼有尖锐陡峭的频域特性的响应曲线,这两者互相矛盾,因此只能以系统最需要的特性来决定。在本文DDS 系统中,对滤波器的频域特性要求之高超过了时域特性,比较起来椭圆函数滤波器性能更好,所以设计采用椭圆函数滤波器。

所设计的椭圆函数滤波器的性能指标如下:3db 时截止频率fc 为50M ,输入电阻和负载R1和R2都为100,最低阻带频率fs 为65M ,此处的最小阻带衰减Amin 为60db ,通带内纹波小于0.2db 。

由性能指标可算出低通滤波器的陡度系数As=65M/50M=1.3,由纹波系数小于0.2,可得到反射损耗

ρ

A

为13.9dB,则Amin+

ρ

A

=73.9db,由椭圆函

数曲线表[2]

可得到所设计的低通滤波器的阶数为7阶,再根据椭圆函数7阶LC 参数表可得出归一化的LC 参数,再由去归一化公式: 'C C ZFSF

=

,'LZ L FSF

=

,

得到实际参数。式中Z 为100,2FSF fc π=,参数列于表4,电路图如图5所示,PSPISE 仿真图如图6所

表4 参数计算表

图5 7阶椭圆滤波电路图

图6 滤波电路仿真图

IOUT 输出的正弦信号经过低通滤波之后,由VINP 进入AD9851内部的高速比较器,VOUT 输出的

为稳定精确的脉冲信号。脉冲信号经过放大电路[3]

则可调节其幅度,放大电路如图7所示。

图7 脉冲幅度放大电路

设计中MSP430F169、AD9851和AD8044都使用3.3V 电源,采用电源芯片TPS70633具有电压稳定,功耗低的特点,电路图如图8所示。

图8 电源电路

3.2软件设计

频率控制字及相位控制字的计算,根据DDS 信

号的特性:初始相位为45O

;6倍参考时钟使能(外部时钟为30M );电源工作模式;输出频率为8M

由公式(

1),(2)可算出W0—W5分别为:W0=00100001;W1=00001011;W2=01100000;W3=10110110;W4=00001011。

AD9851内部有40位寄存器,包括32个存储频率控制字的寄存器,5个用于存储相位调制字,一个存储6倍参考时钟倍乘器控制位,一个存储使能控制位,一个存储电源休眠功能控制字。寄存器接收数据的方式有并行和串行两种方式。并行装载是通过8位数据总线完成40位控制数据的输入。W_CLK 上升沿写入一组8位数据,连续5个W_CLK 上升沿后,完成全部40位控制字的输入,此时控制FQ_UD 为上升沿,当上升沿到来之时40位数据会从输入寄存器写入频率和相位控制寄存器,更新DDS 的输出频率和相位,同时把地址指针复位到第一个输入寄存器W0。时序如图9所示。

图9 并行工作方式时序图

先将40位控制字写入单片机FLASH 中,再利用P6.3,P6.4控制W_CLK,FQ_UD,利用并行方式,通过P4口将40位控制字写入AD9851的频率相位寄存器中。 4.结论

采用新款低功耗带FLASH 存储器的微处理器MSP430F169,可对信号频率、相位在线调节,具有精度高、功耗低的优点。7阶椭圆低通滤波电路使其幅频特性更好,对高频杂散分量抑制作用明显。电路采用电池供电具有便携式的优点。 参考文献:

[1]胡大可.MSP430系列FLASH 型超低功耗16位单片机. 北京:北京航空航天大学出版社,2002.

[2]阿瑟·B ·威廉斯 电子滤波器设计手册[M]. 喻春轩等译. 北京:电子工业出版社,1986.

[3]童诗白,华成英主编.模拟电子技术基础第三版.北京:高等教育出版社,2000.

DDS基本原理及技术指南

DDS基本原理及技术指南 2012年03月20日12:03 eechina 分享 关键词:DDS , 频率合成 随着数字技术在仪器仪表和通信系统中的广泛使用,可从参考频率源产生多个频率的数字控制方法诞生了,即直接数字频率合成(DDS)。其基本架构如图1所示。该简化模型采用一个稳定时钟来驱动存储正弦波(或其它任意波形)一个或多个整数周期的可编程只读存储器(PROM)。随着地址计数器逐步执行每个存储器位置,每个位置相应的信号数字幅度会驱动DAC,进而产生模拟输出信号。最终模拟输出信号的频谱纯度主要取决于DAC。相位噪声主要来自参考时钟。 DDS是一种采样数据系统,因此必须考虑所有与采样相关的问题,包括量化噪声、混叠、滤波等。例如,DAC 输出频率的高阶谐波会折回奈奎斯特带宽,因而不可滤波,而基于PLL的合成器的高阶谐波则可以滤波。此外,还有其它几种因素需要考虑,稍后将会讨论。 图1:直接数字频率合成系统的基本原理 这种简单DDS系统的基本问题在于,最终输出频率只能通过改变参考时钟频率或对PROM重新编程来实现,非常不灵活。实际DDS系统采用更加灵活有效的方式来实现这一功能,即采用名为数控振荡器(NCO)的数字硬件。图2所示为该系统的框图。

图2:灵活的DDS系统 系统的核心是相位累加器,其内容会在每个时钟周期更新。相位累加器每次更新时,存储在△相位寄存器中的数字字M就会累加至相位寄存器中的数字。假设△相位寄存器中的数字为00...01,相位累加器中的初始内容为00...00。相位累加器每个时钟周期都会按00...01更新。如果累加器为32位宽,则在相位累加器返回至00...00前需要232(超过40亿)个时钟周期,周期会不断重复。 相位累加器的截断输出用作正弦(或余弦)查找表的地址。查找表中的每个地址均对应正弦波的从0°到360°的一个相位点。查找表包括一个完整正弦波周期的相应数字幅度信息。(实际上,只需要90°的数据,因为两个MSB中包含了正交数据)。因此,查找表可将相位累加器的相位信息映射至数字幅度字,进而驱动DAC。图3用图形化的“相位轮”显示了这一情况。 考虑n = 32,M = 1的情况。相位累加器会逐步执行232个可能的输出中的每一个,直至溢出并重新开始。相应的输出正弦波频率等于输入时钟频率232分频。若M=2,相位累加器寄存器就会以两倍的速度“滚动”计算,输出频率也会增加一倍。以上内容可总结如下: 图3:数字相位轮

dds工作原理

电磁无损检测中信号发生器的设计与实 现 [日期:2005-6-24] 来源:国外电子元器件作者:彭国标 [字体:大中 小] 摘要:在电磁无损检测系统中,信号频率及其稳定性对检测效果影响很大,为了解决该难题,文中给出了一种应用DDS技术设计的新型信号发生器解决方案。该信号发生器具有频率精度高、稳定性好、分辨率高的特点,有利于提高电磁无损检测的效果。 关键词:电磁无损检测;信号发生器;直接数字频率合成 电磁无损检测(如涡流)是基于电磁感应原理的无损检测技术。当载有交变电流的检测线圈靠近导体时,由于交变电流在周围空间中会产生交变磁场,因而在被测试件(导体)表面产生趋肤效应并感应出交变电流。通过优化设计可使被测试件表面电流达到均匀分布,从而使周围磁场也达到均匀,而当被测试件表面有缺陷时,电流就会发生畸变,均匀磁场随之发生变化,这样,直接或间接测量出该磁场的变化,就能检测试件的缺陷。虽然人们在电磁无损检测方面已取得了许多进展,但仍有许多不尽人意之处,其中一个重要的因素便是检测系统信号的稳定性,这一点对测量结果会产生严重的影响。因为信号发生电路是整个检测系统的信号源,它的信号频率是否稳定将对整个检测系统的工作起十分关键的作用,信号频率不稳定会给信号的后续处理带来极大的困难,甚至使电磁检测难以进行。为了解决上述问题,笔者应用DDS技术设计了一个高稳定性信号发生器。 1DDS的工作原理 直接数字合成(DDS-DirectDigitalSynthesizer)是近几年发展起来的一种新的频率/波形合成技术。该技术具有频率分辨率高、转换速度快、信号纯度高、相位可控、输出信号无电流脉冲叠加、输出可平稳过渡且相位保持连续变化等优点。近年来在通讯、雷达、GPS、蜂窝基站、图像处理及HDTV等领域得到了广泛应用。

multisim常见元件

multisim元件库 1.点击“放置信号源”按钮,弹出对话框中的“系列”栏如图2所示。 图2 (1). 选中“电源(POWER_SOURCES)”,其“元件”栏下内容如图3所示: 图3 (2). 选中“信号电压源(SIGNAL_VOLTAGE_SOURCES)”,其“元件”栏下内容如图4所示:

图4 (3). 选中“信号电流源(SIGNAL_CURRENT_SOURCES)”,其“元件”栏下内容如图5所示: 图5 (4). 选中“控制函数块(CONTROL_FUNCTION_BLOCKS)”,其“元件”栏下内容如图6所示: 图6 (5). 选中“电压控源(CONTROLLED_VOLTAGE_SOURCES)”,其“元件”栏下内容如图7所示:

图7 (6). 选中“电流控源(CONTROLLED_CURRENT_SOURCES)”,其“元件”栏下内容如图8所示: 图8 2. 点击“放置模拟元件”按钮,弹出对话框中“系列”栏如图9 所示。 图9 (1). 选中“模拟虚拟元件(ANALOG_VIRTUAL)”,其“元件”栏中仅有虚拟比较器、三端虚拟运放和五端虚拟运放3个品种可供调用。 (2). 选中“运算放大器(OPAMP)”。其“元件”栏中包括了国外许多公司提供的多达4243种各种规格运放可供调用。 (3). 选中“诺顿运算放大器(OPAMP_NORTON)”,其“元件”栏中有16种规格诺顿运放可供调用。 (4). 选中“比较器(COMPARATOR)”,其“元件”栏中有341种规格比较器可供调用。 (5). 选中“宽带运放(WIDEBAND_AMPS)”其“元件”栏中有144种规格宽带运放可供调用,宽带运放典型值达100MHz,主要用于视频放大电路。

DDS信号发生器原理

2 基本原理 2.1 直接数字频率合成器 直接数字合成(Direct Digital Synthesis,简称DDS)技术是从相位概念出发,直接对参考正弦信号进行抽样,得到不同的相位,通过数字计算技术产生对应的电压幅度,最后滤波平滑输出所需频率。 2.1.1 DDS工作原理 下面,通过从相位出发的正弦函数产生描述DDS的概念。 图1表示了半径R为1的单位圆,半径R绕圆心旋转与X轴的正方向形成夹角θ(t),即相位角。 图1 单位圆表示正弦函数S= R sinθ(t) DDS的原理框图如图2所示。图中相位累加器可在每一个时钟周期来临时将频率控制字(FTW)所决定的相位增量M累加一次,如果记数大于2N,则自动溢出,而只保留后面的N位数字于累加器中[9]。 图2 DDS原理框图

DDS的数学模型可归结为:在每一个时钟周期T c 内,频率控制字M与N比特相位累加器累加一次,并同时对2N取模运算,得到的和(以N位二进制数表示)作为相位值,以二进制代码的形式去查询正弦函数表ROM,将相位信息转变成相应的数字量化正弦幅度值,ROM输出的数字正弦波序列再经数模转换器转变为阶梯模拟信号,最后通过低通滤波器平滑后得到一个纯净的正弦模拟信号。 由于ROM表的规模有限,相位累加器一般仅取高位作为寻址地址送入正弦查询表获得波形幅度值。正弦查询表中以二进制数形式存入用系统时钟对正弦信号进行采样所得的样值点,可见只需改变查询表内容就可实现不同的波形输出。 2.1.2 DDS的结构 DDS的基本结构包括相位累加器、正弦查询表(ROM)、数模转换器(DAC)和低通滤波器(LPF),其中从频率控制字到波形查询表实现由数字频率值输入生成相应频率的数字波形,其工作过程为: ⑴确定频率控制字M; ⑵在时钟脉冲f c 的控制下,该频率控制字累加至相位累加器生成实时数字相位值; ⑶将相位值寻址ROM转换成正弦表中相应的数字幅码。 模块DAC实现将数字幅度值高速且线性地转变为模拟幅度值,DDS产生的混叠干扰由DAC之后的低通滤波器滤除]7[。 ㈠相位累加器 相位累加器是DDS最基本的组成部分,用于实现相位的累加并存储其累加结果。 若当前相位累加器的值为Σ n ,经过一个时钟周期后变为Σ 1+ n ,则满足 Σ 1+ n =Σ n +M Σ n 为一等差数列,不难得出:Σ n =nM+Σ 其中Σ 为相位累加器的初始相位值。 ㈡正弦查询表(ROM) DDS查询表所存储的数据是每一个相位所对应的二进制数字正弦幅值,在每一个时钟周期内,相位累加器输出序列的高m位对其进行寻址,最后的输出为该相位相对应的二进制正弦幅值序列。 ㈢数模转换器(DAC) 数模转换器的作用是将数字形式的波形幅值转换成所要求合成频率的模拟形式

multisim中信号发生器

关于信号发生器正确使用.

当函数发生器接正负两端时,结果如下:

做仿真时,有些疑问,为什么放大时,增益为什么不是2,或者接近2(只对本电路来说)按照信号与系统来说,正弦信号经过一个线性稳定系统,其输出应为同频率,相位不同,幅值不同(由线性系统的传递函数决定)的正弦信号。可是放大器工作在线性区,其传递函数与所包含的S基本没有关系(电容所对的传递函数1/sc 电感对应传递函数Ls,电阻对应的只是他们的阻值,不含s项)。其增益应为(近似)放大器的放大倍数。可是第二次做的结果不是放大器放大器的倍数,而是放大器放大倍数的两倍(近似)。今天分析可知信号发生器的接法不同导致出现了这样的结果。 正确的使用方法如下: 1:当外接+和GND端子时,输出正极性信号,幅值等于信号发生器的有效值。 2:当外接﹣和GND端子时,输出负极性信号,幅值等于信号发生器的有效值。 3:当外接+和﹣端子时,输出幅值等于信号发生器的有效值的两倍。 4:同时接+ GND和﹣三个端子时,输出两个幅度相等极性相反的两个信号。 兰亭序 永和九年,岁在癸丑,暮春之初,会于会稽山阴之兰亭,修禊事也。群贤毕至,少长咸集。此地有崇山峻岭,茂林修竹;又有清流激湍,映带左右,引以为流觞曲水,列坐其次。虽无丝竹管弦之盛,一觞一咏,亦足以畅叙幽情。是日也,天朗气清,惠风和畅,仰观宇宙之大,俯察品类之盛,所以游目骋怀,足以极视听之娱,信可乐也。 夫人之相与,俯仰一世,或取诸怀抱,晤言一室之内;或因寄所托,放浪形骸之外。虽取舍万殊,静躁不同,当其欣于所遇,暂得于己,快然自足,不知老之将至。及其所之既倦,情随事迁,感慨系之矣。向之所欣,俯仰之间,已为陈迹,犹不能不以之兴怀。况修短随化,终期于尽。古人云:“死生亦大矣。”岂不痛哉! 每览昔人兴感之由,若合一契,未尝不临文嗟悼,不能喻

DDS原理及AD9851电路设计、测试

DDS原理及AD9851电路设计、测试 鼎峰电子https://www.wendangku.net/doc/c42694326.html, 一.DDS(Direct Digital Synthesis)直接频率合成技术概况在频率合成(FS, Frequency Synthesis)领域中,常用的频率合成技术有模拟锁相环、数字锁相环、小数分频锁相环(fractional-N PLL Synthesis)等,直接数字合成(Direct Digital Synthesis-DDS)是近年来新的FS技术。单片集成的DDS产品是一种可代替锁相环的快速频率合成器件。DDS是产生高精度、快速变换频率、输出波形失真小的优先选用技术。DDS以稳定度高的参考时钟为参考源,通过精密的相位累加器和数字信号处理,通过高速D/A变换器产生所需的数字波形(通常是正弦波形),这个数字波经过一个模拟滤波器后,得到最终的模拟信号波形。如图2所示,通过高速DAC产生数字正弦数字波形,通过带通滤波器后得到一个对应的模拟正弦波信号,最后该模拟正弦波与一门限进行比较得到方波时钟信号。 DDS系统一个显著的特点就是在数字处理器的控制下能够精确而快速地处理频率和相位。除此之外,DDS的固有特性还包括:相当好的频率和相位分辨率(频率的可控范围达μHz级,相位控制小于0.09°),能够进行快速的信号变换(输出DAC的转换速率300百万次/秒)。这些特性使DDS在军事雷达和通信系统中应用日益广泛。 其实,以前DDS价格昂贵、功耗大(以前的功耗达Watt级)、DAC器件转换速率不高,应用受到限制,因此只用于高端设备和军事上。随着数字技术和半导体工业的发展,DDS芯片能集成包括高速DAC器件在内的部件,其功耗降低到mW级(AD9851在3.3v 时功耗为650mW),功能增加了,价格便宜。因此,DDS也获得广泛的应用:现代电子器件、通信技术、医学成像、无线、PCS/PCN系统、雷达、卫星通信。

DDS的原理及镜像频谱分析

DDS的原理及镜像频谱分析 1.目的: (1)了解DDS的原理。 (2)分析DDS的镜像频谱 2.DDS的原理 2.1.DDS的概述 直接数字式频率综合器DDS(Direct Digital Synthesizer),实际上是一种分频器:通过编程频率控制字对系统时钟进行分频以产生所需要的频率。DDS 有两个突出的特点,一方面,DDS工作在数字域,一旦更新频率控制字,输出的频率就相应改变,其跳频速率高;另一方面,由于频率控制字的宽度宽(48bit或者更高),频率分辨率高。 图1是DDS的内部结构图,它主要分成3部分:相位累加器(PHASE_ACCMULATOR),相位幅度转换(AMPLITUDE/SINE_CONV.ALGORITHM),数模转换器(D/A_CONVERTER) 图1 DDS的结构框图 2.2.DDS的组成 1、相位累加器:一个正弦波,它的幅度不是线性的,但是它的相位却是线性增加的。DDS就是 利用了这一特点来产生正弦信号。如图 2,根据DDS的频率控制字的位数N,把 360°平均分成了2N等份。假设系统时钟为Fc,输出频率为Fout。每次转动一个 角度360°/2N,则可以产生一个频率为Fc/2N的正弦波的相位递增量。那么只要 选择恰当的频率控制字M,使得Fout/Fc= M/2N,就可以得到所需要的输出频率 Fout=Fc*M /2N。

图2 相位累加器原理 2、相位幅度转换:通过相位累加器,我们已经得到了合成Fout频率所对应的相位信息,然后相 位幅度转换器把0°~360°的相位转换成相位相应的幅度值。比如当DDS选 择为2Vp-p的输出时,45°对应的幅度值为 0.707V,这个数值则以二进制的 形式被送入DAC。这个相位到幅度的转换是通过查表完成的。 3、数模转换器:的二进制数字信号被送入DAC中,并转换成为模拟信号输出。★注意★DAC 的 位数并不影响输出频率的分辨率。输出频率的分辨率是由频率控制字的位数决 定的。 3. DDS的镜像频谱分析: 我们已经知道DDS是一个分频器,在提供一个系统主频的情况下,能够输出低于系统主频,分辨率为2N的正弦波。即每一个主频周期,DAC都会输出一个点,而2N/M个点形成输出频率的一个周期。这就相当于以系统时钟的频率对输出时钟进行采样,根据奈奎斯特定律,这就是为什么输出频率要低于系统时钟的50%的原因。 下图3为DDS在300M主频,输出80M频率时的频谱。图4为AD9954(主频为400M)输出80M 频率时的频谱(无参考时钟倍频器)。

DDS波形发生器电路原理及功能

DDS波形发生器电路组成及功能 、DDS波形发生器电路的组成 本系统以单片机STC89C52为核心,采用了直接数字合成技术(DDS),实现了频 率任意调节的正弦波,方波,三角波以及特定的任意信号,产生的各类波形精度高,稳定性好,采用友好的人机界面,操作方便。系统总框图如下图所示: (1)相位累加地址发生器 使用可编程逻辑器件IC4 GAL16V8编程得到累加相位码,也就是波形的地址码。这里的GAL16V8是100进制计数器,相位累加时,对应一个波形100个数据的地址。 GAL16V8拥有8个输入端和8个输出端,可以单独选择每个输出端的极性,灵活的进行输出端的组合排列、可重复编程、频带范围宽,工作频率很高。使用GAL16V8做相位累加地址发生器使得电路更加简洁,保证在高频率下的稳定工作。 (2)数据存储器 使用外部ROM数据存储器IC5 27C64,已经预先把相关波形的量化数据写入该外部ROM中。 (3)D/A模数转换电路 使用数模转换集成芯片IC6 DAC0832,DAC0832是一个8位的电流式的数模转换器,就是把波形数据转化为波形真实的模拟信号。波形信号为电流信号,因此输出端还要接运算放大器,把电流信号转换为电压信号。 (4)双极性转换电路及滤波电路 可 编 程 .M 来 信 号 信 号 報 动 输 出 DDS波形发生器系统框图

采用反相比例运算放大电路IC7 TL084 中的A,B 运放,将D/A 输出的单极性信号转 换为双极性信号。 为确保产生波形的质量,减少波形失真度,使输出波形光滑,须用低通滤波器把高频分量滤掉。在此采用自动线性跟踪Butterworth 有源滤波器,在此采用二阶有源低通滤波器,即TL084 中的 C 运放及R19,C18,R20,C10 两节低通滤波器组成。 (5)显示及控制电路 本机器需要进行人机对话,根据系统设计要求,采用独立式微动按钮S2?7输入,八只七段LED1?8数码管作为输出显示,清晰可见。使用时可以选择方波、三角波和正弦波三种波形的输出;可调节不同波形的输出频率,并实现了1Hz 的频率步进。 (6)单片机电路 单片机电路是DDS 波形发生器的核心电路,它由微处理器IC12 AT89S52 及外围电路、元器件等组成。它由P0和P1共16个端口与显示电路相连接,把各种的输出波形的相关数据送到八只七段LED i?8数码管显示。 还与可编程逻辑器件IC4 ,外部ROM 数据存储器IC5 相连接,提供可编程基准信号:使用单片机定时器产生固定频率,波形稳定,为相位累加地址发生器提供基准信号。 另外由P2.0?P2.5接键盘的6只微动按钮S2?7,作控制输出信号之用。 微处理器IC12已经按功能要求,把相关的程序写入到芯片中。 (7)电源电路 本系统中,许多集成电路,如单片机、D/A 电路、运算放大器等都需要直流电源进行供电才能工作。单片机、EEPROM等都以5V电源供电,而运算放大器需要±2V供电,因此在电源板的设计过程中,要同时获得+5V、±2V的直流电压。LM7812输出电压为 +12V,LM7912输出电压为-12V,LM7805输出电压为+5V,三者的性能都能满足 设计要求。 在具体电路中,由市电AC220V经变压器降压为双AC12V后,接入到经由二极管VD3 组成的单相全波整流电桥整流,由电容器C8?11滤波后一路电压经过三端稳压器 ?6 LM7912稳压后输出+12V,另一路电压经过三端稳压器LMC7912稳压后输出-12V电压。 ±2V电压作为模拟放大器的电源电压,电容器C12?17是滤波电容。其中+12V电压经三端稳压器LM7805 稳压后输出+5V 电压,作为AT89S51、CAL16V8、27C64、URL2803、DAC0832、74LS244等数字集成电路的电源电压。考虑到D/A转换器在进行数模转换时,需要较稳定、精度较高的参考电压,在电源板设计过程中,将LM7812输出的+12V电

multisim10示波器的使用方法

共基极放大器 电子仿真软件MultiSIM 9中的虚拟示波器使用方法 默认分类 2009-04-11 12:59 阅读330 评论0 字号:大中小 在电子仿真软件MultiSIM 9中,除了虚拟双踪示波器和虚拟四踪示波器以外,还有两台高性能的先进示波器,它们分别是:跨国“安捷伦”公司的虚拟示波器“Agilent54622D”和美国“泰克”公司的虚拟数字存贮示波器“TektronixTDS2024”。本刊06年第五期曾对Multisim7中的安捷伦虚拟示波器设置和显示有过简单介绍,读者可以参阅该文相关内容。本文主要介绍安捷伦虚拟示波器的一些特殊其它功能和美国“泰克” 公司的虚拟数字存贮示波器这两台高档次的示波器使用方法。 一、安捷伦虚拟示波器“Agilent54622D”的使用方法举例 Agilent54622D虚拟示波器的带宽为100MHz,具有两个模拟通道和16个逻辑通道。图一是它的放大面板图,它的各个开关、按钮及旋钮的排列和调节都和实物仪器完全一样,我们在自己的电脑里也能享受到使用高档次测量仪器的愉悦,且没有损坏仪器的担忧。

图一 一、显示基本波形操作(这里以模拟通道1为例说明) 首先在电子仿真软件MultiSIM 9电子平台上调出安捷伦虚拟函数信号发生器和安捷伦虚拟示波器各一台。并按图二连好电路;双击安捷伦虚拟函数信号发生器图标“XFG1”打开电源开关,不作任何设置使用它的默认值,即:频率1kHz,幅值100mVpp的正弦波(可参阅上期介绍)。

图二 然后双击安捷伦虚拟示波器图标“XSC1”,打开它的电源开关,见图一中鼠标手指所示。 打开仿真开关,这时可以从安捷伦虚拟示波器屏幕上看到一条水平细红线。在放大面板处于当前窗口的前提下,将鼠标移至“Y轴量程调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以逐渐放大正弦波信号幅度,且屏幕上方“Y轴量程调节指示”数字在减小; 将鼠标移至“X轴时间调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以使正弦波信号展宽,且屏幕上方“X轴时间量程指示”数字在减小; 将鼠标移至屏幕左下角“波形亮度调节”(也可认为是在调整聚焦)旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以逐渐加粗正弦波信号波形; 将鼠标移至屏幕左下角“Y轴移位调节”旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以将正弦波向下移动,相当于真实示波器的Y轴移位旋钮; 经以上调整结果,从屏幕上可以看到如图三所示波形,从图上我们通过屏幕上方显示的数据可以读出1kHz正弦波的周期是1mS、幅度为100mV,与安捷伦虚拟函数信号发生器设置相符,波形中心离开X 轴为50mV,屏幕上的波形已被适当加粗。

DDS介绍

DDS介绍(自己整理) DDS概要 1971年,美国学者J.Tierney等人撰写的“A DIGITAL Frequency Synthesizer”-文首次提出了以全数字技术,从相位概念出发直接合成所需波形的一种新给成原理。限于当时的技术和器件产,它的性牟指标尚不能与已有的技术盯比,故未受到重视。近1年间,随着微电子技术的迅速发展,直接数字频率合成器(Direct DIGITAL Frequency Synthesis简称DDS或DDFS)得到了飞速的发展,它以有别于其它频率合成方法的优越性能和特点成为现代频率合成技术中的姣姣者。具体体现在相对带宽宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性价比。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。 一、 DDS原理和结构 DDS的基本大批量是利用采样定量,通过查表法产生波形。DDS的结构有很多种,其基本的电路原理可用图1来表示。 相位累加器由N位加法器与N位累加寄存器级联构成。每来一个时钟脉冲fs,加法器将控制字k与累加寄存器输出的累加相位数据相加,把相加后的结果送到累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位加累加。由此可以看出,相位累加器在每一个中输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的出频率就是DDS输出的信号频率。 用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址。这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换。波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。 DDS在相对带宽、频率转换时间、高分头放力、相位连续性、正交输出以及集成化等一系列性能指标方面远远超过了传统频率合成技术所能达到的水平,为系统提供了优于模拟信号源的性能。 下面以AD9850为例来谈一谈DDS的工作原理。DDS系统的核心是相位累加器,每来一个时钟脉冲,它的内容就更新一次。在每次更新时,相位增量寄存器的相位增量M就加到相位累加器中的相位累加值上。假设相位增量寄存器的M为00...01,相位累加器的初值为00...00。这时在每个时钟周期,相位累加器都要加上00...01。如果累加器位宽n是32位,相位累加器就需要232个时钟周期才能恢复初值(见图2)。 相位累加器的输出作为正弦查找表的查找地址。查找表中的每个地址代表一个周期的正

DDS信号发生器原理

DDS信号发生器原理

相 位正弦数模低通滤时钟 频 (f 控制输出 2 基本原理 2.1 直接数字频率合成器 直接数字合成(Direct Digital Synthesis ,简称DDS )技术是从相位概念出发,直接对参考正弦信号进行抽样,得到不同的相位,通过数字计算技术产生对应的电压幅度,最后滤波平滑输出所需频率。 2.1.1 DDS 工作原理 下面,通过从相位出发的正弦函数产生描述DDS 的概念。 图1表示了半径R 为1的单位圆,半径R 绕圆心旋转与X 轴的正方向形成夹角θ(t),即相位角。 图1 单位圆表示正弦函数S= R sinθ(t) DDS 的原理框图如图2所示。图中相位累加器可在每一个时钟周期来临时将频率控制字(FTW )所决定的相位增量M 累加一次,如果记数大于2N ,则自动溢出,而只保留后面的N 位数字于累加器中[9]。 图2 DDS 原理框图

DDS的数学模型可归结为:在每一个时钟周期T c 内,频率控制字M与N比特相位累加器累加一次,并同时对2N取模运算,得到的和(以N位二进制数表示)作为相位值,以二进制代码的形式去查询正弦函数表ROM,将相位信息转变成相应的数字量化正弦幅度值,ROM输出的数字正弦波序列再经数模转换器转变为阶梯模拟信号,最后通过低通滤波器平滑后得到一个纯净的正弦模拟信号。 由于ROM表的规模有限,相位累加器一般仅取高位作为寻址地址送入正弦查询表获得波形幅度值。正弦查询表中以二进制数形式存入用系统时钟对正弦信号进行采样所得的样值点,可见只需改变查询表内容就可实现不同的波形输出。 2.1.2 DDS的结构 DDS的基本结构包括相位累加器、正弦查询表(ROM)、数模转换器(DAC)和低通滤波器(LPF),其中从频率控制字到波形查询表实现由数字频率值输入生成相应频率的数字波形,其工作过程为: ⑴确定频率控制字M; ⑵在时钟脉冲f c 的控制下,该频率控制字累加至相位累加器生成实时数字相位值; ⑶将相位值寻址ROM转换成正弦表中相应的数字幅码。 模块DAC实现将数字幅度值高速且线性地转变为模拟幅度值,DDS产生的混叠干扰由DAC之后的低通滤波器滤除]7[。 ㈠相位累加器 相位累加器是DDS最基本的组成部分,用于实现相位的累加并存储其累加结果。 若当前相位累加器的值为Σ n ,经过一个时钟周期后变为Σ 1+ n ,则满足 Σ 1+ n =Σ n +M Σ n 为一等差数列,不难得出:Σ n =nM+Σ 其中Σ 为相位累加器的初始相位值。 ㈡正弦查询表(ROM) DDS查询表所存储的数据是每一个相位所对应的二进制数字正弦幅值,在每一个时钟周期内,相位累加器输出序列的高m位对其进行寻址,最后的输出为该相位相对应的二进制正弦幅值序列。 ㈢数模转换器(DAC) 数模转换器的作用是将数字形式的波形幅值转换成所要求合成频率的模拟形式

DDS简介

DDS简介 DDS 直接数字频率合成技术(Direct Digital Frequency Synthesis,即DDFS,一般简称DDS),是从相位概念出发直接合成所需要波形的一种新的频率合成技术。目前各大芯片制造厂商都相继推出采用先进CMOS工艺生产的高性能、多功能的DDS芯片,为电路设计者提供了多种选择。然而在某些场合,专用DDS芯片在控制方式、置频速率等方面与系统的要求差距很大,这时如果用高性能的FPGA器件来设计符合自己需要的DDS电路,就是一个很好的解决方法。 ACEX 1K器件是Altera公司着眼于通信、音频处理及类似场合的应用而推出的芯片系列,总的来看将会逐步取代FLEX 10K 系列,成为首选的中规模器件产品。它具有如下优点:* 高性能。ACEX 1K器件采 用查找表(LUT)和EAB(嵌入式阵列块)相结合的结构,特别适用于实现复杂逻辑功能和存储器功能,例如通信中应用的DSP、多通道数据处理、数据传递和微控制等。 * 高密度。典型门数为1万到10万门,有多达49,152位的RAM(每个EAB有4,096位RAM)。 * 系统性能。器件内核采用2.5V电压,功耗低,能够提供高达250MHz的双向I/O功能,完全支持33MHz和66MHz的PCI局部总线标准。 * 灵活的内部互联。具有快速连续式、延时可预测的快速通道互连;能提供实现快速加法器、计数器、乘法器和比较器等算术功能的专用进位链和实现高速多扇入逻辑功能的专用级联链。 本次设计采用的是ACEX EP1K50,典型门数50000门,逻辑单元2880个,嵌入系统块10个,完全符合单片实现DDS电路的要求。设计工具为Altera的下一代设计工具Quartus 软件。 DDS的工作原理和电路结构 DDS以数控振荡器的方式,产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。其中:* 频率累加器对输入信号进行累加运算,产生频率控制数据或相位步进量。 * 相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y. * 幅度/相位转换电路实质是一个波形存储器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。 具体工作过程如下:每来一个时钟脉冲,N位加法器将频率控制数据X与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的输入端。累加寄存器一方面将在上一时钟周期作用后所产生的新的相位数据反馈到加法器的输入端,以使加法器在下一时钟的作用下继续与频率控制数据X相加;

DDS-11A电导率仪的测量原理与使用方法

一、测量原理 电导率仪的工作原理如图所示。 1.振荡器 2.电导xx 3.放大器 4.指示器 把振荡器产生的一个交流电压源E,送到电导池Rx与量程电阻(分压电 阻)Rm的串联回路里,电导池里的溶液电导愈大,Rx愈小,Rm获得的电压Em 也就越大。将Em送至交流放大器放大,再经过讯号整流,以获得推动表头的直流讯号输出,表头直读电导率。 二、使用方法 (1)打开电源开关前,应观察表针是否指零,若不指零时,可调节表头的螺丝,使表针指零。 (2))根据电极选用原则,选好电极并插入电极插口。各类电极要注意调节好配套电极常数。 将校正、测量开关拨在“校正”位置。 (3)插好电源后,再打开电源开关,此时指示灯亮。预热数分钟,待指针完全稳定下来为止。 调节校正调节器,使表针指向满刻度。 (4)根据待测液电导率的大致范围选用低周或高周,并将高周、低周开关拨向所选位置。

(5)将量程选择开关拨到测量所需范围。如预先不知道被测溶液电导率的大小,则由最大档逐档下降至合适范围,以防表针打弯。 (6)倾去电导池中电导水将电导池和电极用少量待测液洗涤2~3次,再将电极浸入待测液中并恒温。 (7)将校正、测量开关拨向“测量”,这时表头上的指示读数乘以量程开关的倍率,即为待测液的实际电导率。 (8)当用0μS·cm-1~ 0.1μS·cm-1或0μS·cm-1~ 0.3μS·cm-1这两档测量高纯水时,在电极未浸入溶液前,调节电容补偿调节器,使表头指示为最小值(此最小值是电极铂片间的漏阻,由于此漏阻的存在,使调节电容补偿调节器时表头指针不能达到零点),然后开始测量。 (9)10mV的输出可以接到自动平衡记录仪或进行计算机采集。 附录: 重蒸馏水 蒸馏水是电的不良导体。但由于溶有杂质,如二氧化碳和可溶性固体杂质,它的电导显得很大,影响电导测量的结果,因而需对蒸馏水进行处理。处理的方法是向蒸馏水中加人少量高锰酸钾,用硬质玻璃烧瓶进行蒸馏。

基于DDS的基本原理设计的低频信号发生器

摘要 本课程设计是基于DDS的基本原理设计的低频信号发生器。以AT89C51单片机为核心。通过R-2R网络作为数模转换器件,将已经生成的数字信号进行数模转换,最终实现模拟信号的输出。本次课程设计使用了KILE软件对程序进行编译和PROTEUS软件对实验电路和结果进行仿真,波形的产生和相应的频率由软件编程来实现;波形类型选择和频率大小由按键来控制输出的信号经过滤波放大最后由输出终端输出。这个信号发生器最终可以产生正弦波、三角波、方波、锯齿波。 关键词:信号发生器;AT89C51;R-2R;DDS

目录 1引言 (3) 1.1设计目的 (3) 1.2设计要求 (3) 2 设计方案和原理 (3) 2.1设计原理 (3) 2.2主程序设计 (4) 2.3设计思想 (5) 3 硬件设计 (5) 3.1硬件原理框图 (5) 3.2资源分配 (6) 3.3振荡器特性 (6) 3.4芯片擦除 (6) 3.5 R-2R网络 (6) 3.6电路结构及原理 (7) 4 软件设计 (7) 4.1正弦波程序设计 (7) 4.2三角波程序设计 (8) 4.3方波程序设计 (8) 4.4锯齿波程序设计 (8) 5 仿真及调试 (9) 5.1 R-2R网络的仿真 (9) 5.2仿真结果 (9) 6 总结 (10) 7 参考文献 (11) 附录1 在PROTEUS下面的仿真图 (11) 附录2源程序 (11)

基于51单片机的函数信号发生器 1引言 1.1设计目的 波形发生器是信号源的一种,主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它的应用非常广泛。它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。 1.2设计要求 本文是做基于R-2R网络的低频信号发生器的设计,将采用编程的方法来实现三角波、锯齿波、矩形波、正弦波的发生。根据设计的要求,对各种波形的频率和幅度进行程序的编写,并将所写程序装入单片机的程序存储器中。在程序运行中,当接收到来自外界的命令,需要输出某种波形时再调用相应的中断服务子程序和波形发生程序,经电路的数/模转换器和运算放大器处理后,从信号发生器的输出端口输出。 制作低频信号发生器可以用八位的R-2R网络作为DA转换器来实现,将输出的模拟量通过低通滤波器,即可得到频率稳定、失真度小的波形。 2 设计方案和原理 2.1设计原理 数字信号可以通过数/模转换器转换成模拟信号,因此可通过产生数字信号再转换成模拟信号的方法来获得所需要的波形。89C51单片机本身就是一个完整的微型计算机,具有组成微型计算机的各部分部件:中央处理器CPU、随机存取存储器RAM、只读存储器ROM、I/O接口电路、定时器/计数器以及串行通讯接口等,只要将89C51再配置键盘及其接口、数模转换及波形输出等部分,即可构成所需的波形发生器,其信号发生器构成原理框图如图1。 图1 信号发生器原理框图 89C51是整个波形发生器的核心部分,通过程序的编写和执行,产生各种各样

multisim常见元件

javascript:showReg(0); multisim元件库 1.点击“放置信号源”按钮,弹出对话框中的“系列”栏如图2所示。 图2 (1). 选中“电源(POWER_SOURCES)”,其“元件”栏下内容如图3所示: 图3 (2). 选中“信号电压源(SIGNAL_VOLTAGE_SOURCES)”,其“元件”栏下内容如图4所示:

图4 (3). 选中“信号电流源(SIGNAL_CURRENT_SOURCES)”,其“元件”栏下内容如图5所示: 图5 (4). 选中“控制函数块(CONTROL_FUNCTION_BLOCKS)”,其“元件”栏下内容如图6所示: 图6 (5). 选中“电压控源(CONTROLLED_VOLTAGE_SOURCES)”,其“元件”栏下内容如图7所示:

图7 (6). 选中“电流控源(CONTROLLED_CURRENT_SOURCES)”,其“元件”栏下内容如图8所示: 图8 2. 点击“放置模拟元件”按钮,弹出对话框中“系列”栏如图9 所示。 图9 (1). 选中“模拟虚拟元件(ANALOG_VI RTUAL)”,其“元件”栏中仅有虚拟比较器、三端虚拟运放和五端虚拟运放3个品种可供调用。 (2). 选中“运算放大器(OPAMP)”。其“元件”栏中包括了国外许多公司提供的多达4243种各种规格运放可供调用。 (3). 选中“诺顿运算放大器(OPAMP_NORTON)”,其“元件”栏中有16种规格诺顿运放可供调用。 (4). 选中“比较器(COMPARATOR)”,其“元件”栏中有341种规格比较器可供调用。 (5). 选中“宽带运放(WIDEBAND_AMPS)”其“元件”栏中有144种规格宽带运放可供调用,宽带运放典型值达100MHz,主要用于视频放大电路。

Multisim仿真——阶梯波信号发生器

仿真与设计报告 设计课题:阶梯波信号发生器 班级: 学号: 姓名:

阶梯波发生器 一、设计要求 设计一个频率可调、阶数可调的阶梯波发生器,在Multisim中进行仿真分析。实现的功能:频率可调、阶数可调的平滑的阶梯波。性能指标:频率可调范围较大,阶数可调的阶数范围合理,输出平滑无毛刺的阶梯波。 二、设计方案 1、由时钟信号发生器、计数器和D/A转换器组成电路 2、时钟信号发生器的信号频率可调,采用555构成的多谐振荡器 3、计数器的进制数决定阶梯波的阶数,采用有预置数功能的减法计数器,通过置数改变计数器的进制数。 4、D/A转换器将计数器的输出值转换为模拟电压。 5、利用低通滤波器使输出的波形变平滑。 三、电路框图 四、电路原理图及说明

总体电路如图: 图中从左至右依次为:第一部分为由555构成的多谐振荡器,第二部分为有74LS161D 构成的十六进制计数器,第三部分为D/A转换器,第四部分为低通滤波器。 1、由555构成的多谐振荡器电路图(图一):

图一 电源接通后,Vcc通过电阻R1、R2、R3向电容C2充电。当C2上电压达到2/3Vcc 时,THR端触发,比较器翻转,输出V0变低电平,同时放电管导通,电容C2通过R2放电;当C2上电压下降到1/3Vcc时,下比较器工作,输出电压V0变高电平,C2放电终止,重新充电,周而复始,形成矩形波。通过调节电位计R3大小,可改变矩形波频率。图二中频率计示数为R3滑片位于中点时的频率。输出矩形波波形如图三。 图二

图三 2、四位二进制计数器74LS161(图四)(74LS161是常用的四位二进制可预置的同步加法计数器,)

NI Multisim 11.0中函数信号发生器的使用

NI Multisim 11.0中函数信号发生器的使用 NI Multisim 11.0是美国国家仪器有限公司(National Instruments,简称NI)推出的以Windows为基础的一个专门用于电子电路仿真与设计的EDA工具软件,用户界面友好,简单易用,提供了功能强大的电子仿真设计界面和方便的电路图及文件管理功能。Multisim 11.0提供的直观图形化环境可使学生快速放置基本组件,帮助他们掌握电路基础概念和理论。更重要的是,Multisim 11.0包含丰富的元器件,并将安捷伦测试仪器引入虚拟仪器中,使用户在使用Multisim 11.0时能产生身临其境的感觉。 一、NI Multisim 11.0中函数信号发生器的种类和功能 1.NI Multisim 11.0中函数信号发生器的种类 NI Multisim 11.0中提供了20多种在电工电子电路分析中常用的仪器仪表,其中的函数信号发生器有2种,一种是虚拟函数信号发生器,打开NI Multisim 11.0软件后,单击仿真/仪器/函数信号发生器后,有一个函数信号发生器的虚影随鼠标移动,在电路窗口相应位置单击鼠标,完成虚拟仪器的放置,得到如图1a所示的函数信号发生器图标,双击该图标,便可以得到如图1b所示的函数信号发生器的参数设置控制面板。也可以直接从整个工作界面最右侧的仪表工具栏单击拖拽到电路工作窗口。 a 虚拟函数信号发生器图标 b 虚拟函数信号发生器控制面板 图1 虚拟函数信号发生器 另一种是仿真安捷伦(Agilent)函数信号发生器,图2a所示是安捷伦函数信号发生器的图标,图2b所示是安捷伦33120A型函数信号发生器内部参数设置控制面板。 a 安捷伦函数信号发生器图标 b 安捷伦33120A型函数信号发生器控制面板 图2 仿真安捷伦函数信号发生器 2.函数信号发生器的功能 函数信号发生器能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波、射频和微波等)信号,频率范围可从几微赫到几十兆赫,函数信号发生器在电路实验、信号测试、调整电子电路及设备时具有十分广泛的

DDS信号发生器原理

相位累加器(N比特)正弦查询表 (ROM) 数模转换 (DAC) 低通滤波器 (LPF) 时钟 (f c ) 频率 (f 0) 控制字(M)输出 2 基本原理 2.1 直接数字频率合成器 直接数字合成(Direct Digital Synthesis,简称DDS)技术是从相位概念出发,直接对参考正弦信号进行抽样,得到不同的相位,通过数字计算技术产生对应的电压幅度,最后滤波平滑输出所需频率。 2.1.1 DDS工作原理 下面,通过从相位出发的正弦函数产生描述DDS的概念。 图1表示了半径R为1的单位圆,半径R绕圆心旋转与X轴的正方向形成夹角θ(t),即相位角。 图1 单位圆表示正弦函数S= R sinθ(t) DDS的原理框图如图2所示。图中相位累加器可在每一个时钟周期来临时将频率控制字(FTW)所决定的相位增量M累加一次,如果记数大于2N,则自动溢出,而只保留后面的N位数字于累加器中[9]。 图2 DDS原理框图

DDS的数学模型可归结为:在每一个时钟周期T c 内,频率控制字M与N比特相位累加器累加一次,并同时对2N取模运算,得到的和(以N位二进制数表示)作为相位值,以二进制代码的形式去查询正弦函数表ROM,将相位信息转变成相应的数字量化正弦幅度值,ROM输出的数字正弦波序列再经数模转换器转变为阶梯模拟信号,最后通过低通滤波器平滑后得到一个纯净的正弦模拟信号。 由于ROM表的规模有限,相位累加器一般仅取高位作为寻址地址送入正弦查询表获得波形幅度值。正弦查询表中以二进制数形式存入用系统时钟对正弦信号进行采样所得的样值点,可见只需改变查询表内容就可实现不同的波形输出。 2.1.2 DDS的结构 DDS的基本结构包括相位累加器、正弦查询表(ROM)、数模转换器(DAC)和低通滤波器(LPF),其中从频率控制字到波形查询表实现由数字频率值输入生成相应频率的数字波形,其工作过程为: ⑴确定频率控制字M; ⑵在时钟脉冲f c 的控制下,该频率控制字累加至相位累加器生成实时数字相位值; ⑶将相位值寻址ROM转换成正弦表中相应的数字幅码。 模块DAC实现将数字幅度值高速且线性地转变为模拟幅度值,DDS产生的混叠干扰由DAC之后的低通滤波器滤除]7[。 ㈠相位累加器 相位累加器是DDS最基本的组成部分,用于实现相位的累加并存储其累加结果。 若当前相位累加器的值为Σ n ,经过一个时钟周期后变为Σ 1+ n ,则满足 Σ 1+ n =Σ n +M Σ n 为一等差数列,不难得出:Σ n =nM+Σ 其中Σ 为相位累加器的初始相位值。 ㈡正弦查询表(ROM) DDS查询表所存储的数据是每一个相位所对应的二进制数字正弦幅值,在每一个时钟周期内,相位累加器输出序列的高m位对其进行寻址,最后的输出为该相位相对应的二进制正弦幅值序列。 ㈢数模转换器(DAC) 数模转换器的作用是将数字形式的波形幅值转换成所要求合成频率的模拟形式

相关文档
相关文档 最新文档