文档库 最新最全的文档下载
当前位置:文档库 › 南京理工大学eda多功能数字钟

南京理工大学eda多功能数字钟

南京理工大学eda多功能数字钟
南京理工大学eda多功能数字钟

EDA设计实验报告多功能数字时钟设计

院系: 电光学院

专业:通信工程

班级:10042203

学号:1004220309

姓名:苏杭

指导老师:蒋立平

摘要

该实验利用quartus II软件设计一个多功能数字钟,进行实验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到smart SOPC实验系统中进行调试和验证。此外还添加了秒表功能,使得设计的数字钟功能更加完善。

Abstract

:This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting , hour-correcting , minute-correcting , reset , timing-holding and belling on the hour. And then validated the design on the experimental board . In addition, additional functions like reseting the stopwatch make this digital clock a perfect one.

目录

1.设计要求 (4)

2.工作原理 (4)

3.各模块说明 (5)

1)分频模块 (7)

2)计时模块 (13)

3)显示模块 (16)

4)校分与校时模块 (17)

5)清零模块 (18)

6)保持模块 (18)

7)报时模块 (18)

4.扩展模块 (19)

1)秒表模块 (19)

5.调试、编程下载 (20)

6.实验中出现问题及解决办法 (21)

7.实验收获与感受 (22)

8.参考文献 (23)

一、实验目的

通过设计一个00时00分00秒~23时59分59秒的多功能计数器, 巩固和复习数字电路知识,初步掌握EDA设计的基本思路和方法,并能够较为熟练地使用软件QuartusII7.2的相应功能,为课程设计等相关实验课程打下理论与实践两方面的基础。

二、设计要求

1.设计一个数字计时器,可以完成00:00:00到23:59:59的计时功能,并

在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时

等基本功能。

2.具体要求如下:

1)能进行正常的时、分、秒计时功能,最大计时显示23小时59分59

秒。

2)分别由六个数码管显示时分秒的计时。

3)K1是系统的使能开关,K1=0正常工作,K1=1时钟保持不变。

4)K2是系统的清零开关,K2=0正常工作,K2=1时钟的分、秒全清零。

5)在数字钟正常工作时可以对数字钟进行快速校时和校分。K3是系统

的校分开关,K3=0正常工作K3=1时可以快速校分;K4是系统的校

时开关,K4=0正常工作,K4=1时可以快速校时。

3.设计提高部分要求

1)时钟具有整点报时功能,当时钟计到59’53”时开始报时,在

59’53”, 59’55”,59’57”时报时频率为500Hz,59’59”时报

时频率为1KHz。

2)秒表功能,通过开关转换,数码管显示进入秒表计时状态。

4.仿真与验证

用Quartus软件对设计电路进行功能仿真,并下载到实验板上对其功能

进行验证。

三、电路设计原理

数字计时器是由计时电路、译码显示电路、脉冲发生电路和控制电路等几部分组成的,控制电路按要求可由校分校时电路、清零电路和保持电路组成。其中,脉冲发生电路将试验箱提供的48Mhz的频率分成电路所需要的频率;计时电路与动态显示电路相连,并且驱动蜂鸣器整点报时;校时校分电路对时、分提供快速校时;清零电路作用时,系统的分秒时同时归零;保持电路作用时,系统停止计时并保持时间不变。基本时钟电路的系统框图下图所示:

图1:基本时钟电路的系统框图

下面叙述各单元电路的功能:

1、时钟信号发生器:为计时电路提供计数时钟脉冲,需要产生出一个秒脉冲,即振荡频率为1Hz的脉冲信号;为报时电路提供512Hz、1KHz的信号;为校分(时)电路提供1Hz的信号;为闹钟电路提供1KHz的信号。由于实验板上只能提供频率为48MH的系统时钟信号,所以首先要将系统时钟进行分频才能得到相应频率的时钟信号。分频器采用多片四位同步二进制计数器74161相连组成模48和模1000的计数器,通过高位输出即实现分频功能。

2、计时电路:完成00时00分00秒~23时59分59秒的计时功能。利用多片十进制计数器74160组成模24和模60的计数器,两个模60计数器的输出分别作为秒的个位、十位和分的个位和十位;一个模24计数器的输出作为时的个位和十位。总共输出6个8421BCD码。

3、译码显示电路:我们在这里采用七段数码管的动态显示驱动电路。通过显示译码器7447和译码器74138的作用使计数器的输出端信号在六个LED数码管分别显示时十位、时个位、分十位、分个位、秒十位及秒个位。显示译码器7447选择七段数码管的7个段码,译码器74138选择数码管的位码,通过1KHz 的高频率输入实现动态显示。总共是六个数码管,我们可以构造模六计数器,通过24选4数据选择器,在一个时间内选择输出秒个、秒时、分个、分时、时个、时十中的一个,如此循环,由于提供的是高频信号源,所以看起来是六个同时显示的。

4、校分电路:设置一个开关,当开关打到“正常”档时,计数器正常计数;当开关打到“校分”挡时,分计数器可以进行快速校分,即分计时器可以不受秒计数器的进行信号控制,而选通一个频率较快的校分信号进行校分。引入1Hz的脉冲信号直接在分位计数,然后根据校分开关的不同状态决定送入分计数器的脉冲来源,选择完成正常工作或快速校分功能。

5、校时电路:和校分电路的原理实现一样。快速校时信号同样可以是秒进位信号。

6、清零电路:任意时刻通过开关实现手动清零;实现开机自动清零;显示时间到23时59分59秒时电路自动清零。

7、保持电路:通过开关控制时、分、秒各位均保持在当前状态,不随输入脉冲信号变化,我们只需要在需要保持的时候将最低位秒信号输入源断开即可进行保持。

8、整点报时电路:分别在各小时的59分53秒,59分55秒,59分57秒三个时刻报出一个频率为500Hz的低音,在59分59秒报出一个频率为1KHz的高音。我们只需要将秒个、秒时、分个、分时、时个、时十的输出在要求报警的时刻的“1”电平输出端进行逻辑组合然后和相应的输入频率进行组合,如果没有到这个时间那么逻辑组合输出为零;一旦到了这个将特定的时刻逻辑组合输出为高电平与频率脉冲接入报时电路,分配给蜂鸣器后,即可完成在特定时间报时的功能。

9、安装调整电路,并在实验平台上观察结果,直至达到要求为止。

设计提高要求:

1、秒表电路:我们可以用模100的计数功能来完成对秒输入信号的统计,具体原理和计时电路相似,只是需要输入一个较高频率的信号源,我们采用100hz的输入。它和计时电路同时工作,只是显示的时间不同,我们可以设置一个开关来进行显示选择,秒表电

路同样具有清零、保持、显示,原理同上。

四、各模块说明

1、分频模块

实验板上振荡源为48MHz,为获得秒脉冲信号和报时电路中需要的音频,需要对该振荡源进行分频处理。处理的过程示意如下:

【1】2分频电路

2分频电路是通过jk触发器完成的,把jk触发器的jk都接高电平于是构成一个T '电路是Qn=Qn非,于是完成二分频电路。

原理图:

波形图:

【2】3分频电路

Q Q Q Q按照如下方式3分频电路是通过74160用置数法实现。其输出端D C B A

循环计数时就可以对其输入的脉冲进行3分频,输出信号由

B

Q 直接引出。

74160置数端为低电平有效,所以将B Q 作为置数信号的输入。3分频电路图如下:

波形图

封装图为:

【3】8分频

将3个2分频串联实现8分频电路。

8分频电路图如下:

波形图为:

【4】24分频

将一个8分频和一个3分频连接起来组成一个24分频电路图为:

波形图为:

封装图为:

【5】10分频

模10计数器是由计数器74161来Q

D Q

C

Q

B

Q

A

=1111时置数

电路图为:

波形图为:

封装图为:

【6】1000分频

是由三个10分频电路连接而成电路图为:

波形图为:

封装图为:

【7】1khz、500hz、1hz、2hz 原理图为:

电路图为:

波形图为:

(由于48mhz和其他的频率相差较大于是只能看见48mhz而其他的频率为一条直线)

封装图为:

2、计时模块

计时模块包括秒、分、时三个模块,依次进位。其中秒和分的模块都是一个模60计数器,时模块是一个模24技术区。计时采用的是同步计数器,它们所用的时钟信号均为1HZ。

【1】秒计时模块

秒钟部分除了要实现自身的59’’置0’’功能以外,还要将这59’’作为进位信号送到分计数器的使能端。

秒钟部分实际上就是一个模60计数器,当输出为59的时候,即输出01011001时,把为1的输出端通过一个四与非门接到两片74160

的置数端,即可完成自身的59’’置0’’功能。另外,将这四个输

出端接到分个位的使能端,即可完成59’’向1’的进位功能。

电路图

波形图:

封装图:

【2】分计时模块

分钟部分除了要实现自身的59’置0’功能以外,还要将59’59’’作为进位信号送到时计数器的使能端。

电路图:

波形图:

封装图:

【3】时计时模块

电路图:

波形图:

封装图:

3、显示模块

此模块是用于数码管的动态显示,在本实验中一共需要6个数码管参与显示(秒2位,分2位,时2位),所以计数器74161设计为模6的循环,其输出既作为4片74151的控制端,又作为3-8译码器74138的控制端。因为只有一片BCD译码器7447,所以当计数器到某一个数值时,四片74151同时选取对应位的一个输入组成计时器某一位的BCD编码接入显示译码器7447,与此同时根据计数器的数值,74138译码器也从六个显示管的使能端选择对应位有效,从而在实验箱上显现一个有效数据。扫描的频率为几千赫兹,因为人眼视觉停留的原因,会感觉七个数码管同时显示。

原理图如下:

4、校分与校时模块

【1】校分模块

当K3为0时,校分模块输出1hz的脉冲供给分计时模块正常计数;当K3为1时,校分模块输出2hz的脉冲供给分计时模块校分。其中为了防止拨开关时引发的颤动给校分带来影响,在校分模块中加入了消颤的D触发器。由于校分的时候无论秒计时模块是否计到59,都能进行校分,故秒计时模块的输出要与开关K3相或才能供给校分模块的使能端。

【3】校时模块

当K4为0时,校时模块输出1hz的脉冲供给时计时模块正常计数;当K4为1时,校时模块输出2hz的脉冲供给时计时模块校分。其中为了防止拨开关时引发的颤动给校时带来影响,在校时模块中加入了消颤的D触发器。由于校时的时候无论秒和分计时模块是否都计到59,都能进行校时,故秒计时模块的输出要与开关K4相或才能供给校分模块的使能端。

5、清零模块

通过增加一个开关K2来控制计时电路,开关通过非门直接接在每个74160的清零端,当开关断开的时候,计时器正常工作,当开关闭合时,计时器清零。K2=0 CLR=1 , K2=1 CLR=0

6、保持模块

通过开关K1控制秒的使能信号,当秒停止计时时,计时器就“保持”了。

K1=0,ENT=1; K1=1,ENT=0

7、报时模块

当电路计时到59分51,53,55,57秒时,分别发出一声较低的蜂鸣声;

当计时到59分59秒时,发出一声较高的蜂鸣声。需要在某时刻报时,就将该时刻输出为“1”的信号作为触发信号,选通报时脉冲信号进行报时。

设F是报时函数,F1是低音报时函数,F2是高音报时函数。所有函数为高电平时报时。则有:

F=59’53’’F1+59’55’’F1+59’57’’F1+59’59’’F2

=59’51’’(2’’F1+4’’F1+6’’F1+8’’F2)

=59’51’’·(2’’F1·4’’F1·8’’F2)

AND4是表示59分,分个位:1001。分十位:0101

AND3是表示51秒,秒个位:0001.秒十位:0101

下面AND2分别是加0010、加0100、加1000

原理图如下:

四、扩展模块

秒表电路

秒表可实现当开关K4按下后,数码管显示进入秒表计时状态,秒表后两位为100进制(将1s分成100份,即100Hz),秒表的中间两位为60进制(单位是秒),秒表的最高两位也为60进制(单位是分)则秒表的时钟脉冲不是1Hz,而是100Hz。除了时钟脉冲不同,秒表计时器也可以仿照计时、清零模块去做,只需将清零,和进位信号稍加改动便可设计出秒表计时器。其电路图如下图所示:

封装图为:

秒表实现电路的连接和计时电路连接相似,都是采用动态显示,其电路图如下:

五、调试、编程下载

选择“Processing-start complication”进行全编译,编译通过后要进行管脚分配,选择“Assignments Pins”,在打开的对话框中的“Location”栏中选择

(最新版)南京理工大学EDA设计实验报告

摘要 通过实验学习和训练,掌握基于计算机和信息技术的电路系统设计和仿真方法。要求:1. 熟悉Multisim软件的使用,包括电路图编辑、虚拟仪器仪表的使用和掌握常见电路分析方法。2. 能够运用Multisim软件对模拟电路进行设计和性能分析,掌握EDA设计的基本方法和步骤。Multisim常用分析方法:直流工作点分析、直流扫描分析、交流分析。掌握设计电路参数的方法。复习巩固单级放大电路的工作原理,掌握静态工作点的选择对电路的影响。了解负反馈对两级放大电路的影响,掌握阶梯波的产生原理及产生过程。 关键字:电路仿真 Multisim 负反馈阶梯波 目次 实验一 (1) 实验二.............................................................................................11实验三 (17)

实验一单级放大电路的设计与仿真 一、实验目的 1.设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(峰值10mV) , 负载电阻5.1kΩ,电压增益大于50。 2.调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输 出信号波形,并测试对应的静态工作点值。 3.调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度尽可 能大。在此状态下测试: ①电路静态工作点值; ②三极管的输入、输出特性曲线和β、r be 、r ce值; ③电路的输入电阻、输出电阻和电压增益; ④电路的频率响应曲线和f L、f H值。 二、实验要求 1.给出单级放大电路原理图。 2.给出电路饱和失真、截止失真和不失真且信号幅度尽可能大时的输出信号波 形图,并给出三种状态下电路静态工作点值。 3.给出测试三极管输入、输出特性曲线和β、r be 、r ce值的实验图,并给出 测试结果。 4.给出正常放大时测量输入电阻、输出电阻和电压增益的实验图,给出测试结 果并和理论计算值进行比较。 5.给出电路的幅频和相频特性曲线,并给出电路的f L、f H值。 6.分析实验结果。 三、实验步骤 实验原理图:

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

南京理工大学EDA1实验报告(模电部分)

南京理工大学EDA课程设计(一) 实验报告 专业:自动化 班级: 姓名: 学号: 指导老师: 2013年10月

摘要 在老师的悉心指导下,通过实验学习和训练,我已经掌握基了于Multisim的电路系统设计和仿真方法。在一周的时间内,熟悉了Multisim软件的使用,包括电路图编辑、虚拟仪器仪表的使用和掌握常见电路分析方法。能够运用Multisim软件对模拟电路进行设计和性能分析,掌握EDA设计的基本方法和步骤。 实验一:单级放大电路的仿真及设计,设计一个分压偏置的单管电压放大电路,并进行测试与分析,主要测试最大不失真时的静态工作点以及上下限频率。 实验二:负反馈放大电路的设计与仿真,设计一个阻容耦合两级电压放大电路,给电路引入电压串联深度负反馈,,观察负反馈对电路的影响。 实验三:阶梯波发生器的设计与仿真,设计一个能产生周期性阶梯波的电路,对电路进行分段测试和调节,直至输出合适的阶梯波。改变电路元器件参数,观察输出波形的变化,确定影响阶梯波电压范围和周期的元器件。 关键词:EDA设计及仿真multisim 放大电路反馈电路阶梯波发生器

实验一:单级放大电路的仿真及设计 一、实验要求 1、设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(峰值10mV) ,负载电阻5.1kΩ,电压增益大于50。 2、调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。 3、调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度最大。在此状态下测试: (1)电路静态工作点值; (2)三极管的输入、输出特性曲线和 、r be 、r ce值; (3)电路的输入电阻、输出电阻和电压增益; (4)电路的频率响应曲线和f L、f H值。 二、实验步骤 1、设计分压偏置的单级放大电路如图1-1所示: 图1-1、单级放大电路原理图

EDA多功能数字钟设计

EDA设计(二) ——多功能数字钟设计 姓名:周婷婷 学号:0904220116 院系:电光学院

指导老师:花汉兵蒋立平 完成时间:2011年12月15号 多功能数字钟设计 摘要 该实验时利用QuartusII软件设计一个数字钟,进行实验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。此外还添加了星期功能,使得设计的数字钟功能更加完善。 Abstract :This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting , hour-correcting , minute-correcting , reset , timing-holding and belling on the hour. And then validated the design on the experimental board . In addition, additional functions like reseting the week make this digital clock a perfect one.

目录 1.设计要求 (4) 2.工作原理 (4) 3.各模块说明 (5) 1)分频模块 (5) 2)计时模块 (9) 3)显示模块 (11) 4)校分与校时模块 (11) 5)清零模块 (12) 6)保持模块 (13) 7)报时模块 (13) 4.扩展模块 (13) 1)星期模块 (13) 5.调试、编程下载 (14) 6.实验中出现问题及解决办法 (14) 7.实验收获与感受 (14)

南京理工大学EDA设计(1) 优秀

南京理工大学EDA设计(Ⅰ) 实验报告 作者: 学号: 学院(系): 专业: 吴少琴 指导老师: 实验日期: 10.27 - 10.30 2014 年 11 月

摘要 本次EDA实验主要由四个实验组成,分别是单级放大电路的设计与仿真、差动放大电路的设计与仿真、负反馈放大电路的设计与仿真、阶梯波发生器电路的设计。通过电路的设计和仿真过程,进一步强化对模拟电子线路知识的理解和应用,增强实践能力和对仿真软件的运用能力。 关键词 EDA 设计仿真

目录 实验一单级放大电路的设计与仿真 (1) 实验二差动放大电路的设计与仿真 (11) 实验三负反馈放大电路的设计与仿真 (18) 实验四阶梯波发生器电路的设计 (29) 总结 (42) 参考文献 (42)

实验一单级放大电路的设计与仿真 一、实验目的 1、掌握放大电路静态工作点的调试方法。 2、掌握方法电路在不失真状态下电路参数的计算方法。 3、掌握放大电路饱和失真和截止失真时的波形状态并了解其形成原因。 4、观察静态工作点的选择对输出波形及电压放大倍数的影响。 二、实验要求 1.设计一个分压偏置的单管电压放大电路,要求信号源频率10kHz(峰值5mV) ,负载电阻8kΩ,直流供电电源为12V。要求设计指标为电压增益50至100倍之间,带宽大于1MHz。 2.调节电路静态工作点(调节偏置电阻),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。 3.调节电路静态工作点(调节偏置电阻),使电路输出信号不失真,并且幅度最大。在此状态下测试: ①电路静态工作点值; ②三极管的输入、输出特性曲线和 、r be、r ce值; ③电路的输入电阻、输出电阻和电压增益; ④电路的频率响应曲线和f L、f H值。 三、实验步骤 (一)单级放大电路原理图

EDA设计_多功能数字钟设计

EDA设计(二)课程设计报告 理工大学 2013 年09 月

目录 摘要及关键字 (3) 一.设计要求 (3) 二.总体方案设计 (3) 三.各子模块设计原理 (4) 1.分频部分 (4) 2.输入部分 (5) 1.防抖模块 (5) 2.输入模块 (6) 3.计时部分 (6) 1.模60的计数器 (6) 2.模24的计数器 (7) 3.校准模块 (7) 4.计时模块 (8) 4.显示部分 (9) 1.显示模块 (9) (1)6选1数据选择器 (10) (2)4-7译码器 (10) 5.闹钟部分 (10) 1.比较模块 (11) 2.选择器 (11) 6.报时部分 (13) 四. 硬件下载与测试 (15)

1.硬件下载 (15) 2.测试 (15) 3.功能扩展 (16) 五.结论 (16) 参考文献 (16) 数字电子钟的设计 摘要及关键字: 数字电子钟是生活中最常用的电子设备之一,其主要功能是能够显示时、分、秒实时信息,并能够方便地进行时、分、秒的初始值设置,以便时间校准。 实现数字电子钟有很多方法,本课程是采用VHDL硬件语言的强大描述能力和EDA工具的结合在电子设计领域来设计一个具有多功能的数字电子钟。 关键字:数字电子钟VHDL硬件语言EDA工具 一.设计要求: 1.设计一个电子钟能够显示时,分,秒;24小时循环显示。 2.电子钟有校时,校分,清零,保持,整点报时和闹钟的功能,具体如下: (1)数字钟最大计时显示23点59分59秒。 (2)在数字钟正常工作时可以对数字钟进行快速校时,校分,即拨动开关K7可以对时进行校正,拨动开关K6可以对分进行校正。 (3)在数字中正常工作情况下可以对其进行不断地复位,即拨动开关K5可以是时,分,秒显示回零。 (4)在数字钟正常工作时拨动开关K4可以使数字钟保持原有显示,停止计时。 (5)整点报时是要求数字钟在每小时整点到来前进行鸣叫,鸣叫频率是在59:53, 59:55, 59:57 为1kHz,59:59为2kHz。 (6)当开关K8等于0就可以看到正常计数时钟界面,有

南理工EDA2多功能数字钟设计实验报告(蒋立平)——优秀

EDAⅡ实验报告 --多功能数字钟 学院专业: 学生学号: 指导老师:蒋立平 交稿时间:2012年3月25日

摘要 本实验借助于quartusⅡ软件设计一个多功能的数字时钟,具有24小时计时、星期显示、保持、清零、校分校时校星期、整点报时等基本功能,并在此基础上添加了闹钟、音乐闹钟、秒表等附加功能。同时,留有万年历的接口可以方便的进行扩展。.利用quartusⅡ进行相应的设计、仿真、调试,最后下载到SmartSOPC实验系统上验证设计的正确性。 关键词:多功能数字时钟,quartusⅡ,计时,星期显示,整点报时,闹钟,秒表 ABSTRACT This experiment is to design a multifunctional digital clock with quartus Ⅱ.The multifunctional digital clock has varities of the functions like 24-hour timer,week,keeping,clearing zero,adjusting time and chime on integral hour .It also include additional functions such as alarm clock,stopwatch and so on.At the sametimes,it can be added calendar.we designed and simulated with quartusⅡ.Finally downloaded it to the experiment platform to test. Key words:multifunctional digital clock,quartusⅡ,time,week,chime on integral hour, alarm clock,stopwatch

基于eda的多功能数字钟设计

目录 一.设计说明 (1) I.功能说明 (1) II.功能简介 (1) 二.总体方案分析 (3) 三.各子模块设计原理 (3) I.脉冲分频模块 (3) II.时钟计时模块(包含校定和清零功能) (4) III.显示控制电路 (7) IV.显示模块和计时模块结合 (11) V.整点报时模块 (11) VI.闹钟模块 (13) 四.调试与仿真 (17) I.脉冲发生模块 (17) II.计时电路 (18) III.仿真 (18) 五.收获与体会 (19) 六.附录 (20)

一.设计说明 I.功能说明: 设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校时间,每逢整点,产生报时音报时。系统框图如图1-1所示: 图1-1 多功能数字钟系统框图 II.功能简介 此设计问题可分为主控电路、计数器模块和扫描显示三大部,主控电路中各种特殊功能的实现设计问题的关键。 用两个电平信号A、B进行模式选择,AB=00为模式0,系统为计时状态;AB=01为模式1,系统为手动校时状态;AB=10为模式2,系统为闹钟设置状态。 设置一个cd信号,当cd=00时,表示在手动校对时,选择调整时部分;当cd=01时,表示在手动校对时,选择调整分钟部分;。当cd=10时,表示在手动校对时,选择调整秒部分 设置一个change信号,在手动校时或闹钟设置模式下,每按一次,计数器

加1。 设置一个reset信号,当reset=0时,整个系统复位;当reset=1时,系统进行计时或其他特殊功能操作。 设置一个闹钟设置信号reset1,当reset1=1时,对闹钟进行设置,当reset1=0时,关闭闹钟信号。 设置一个E信号,当E=0时,表示在手动校对时,选择调整时部分;当E=1时,表示在手动校对时,选择调整分钟部分 设置状态显示信号(连发光二极管):LD_alert指示是否设置了闹铃功能;LD_h指示当前调整的是小时信号;LD_m指示当前调整的是分钟信号。 当闹钟功能设置后(LD_alert=1),系统应启动一个比较电路,当计时与预设闹铃时间相等时,启动闹铃声,直到关闭闹铃信号有效。 整点报时部分由分和秒计时同时为0(或60)启动,与闹铃共用一个扬声器驱动信号out。 系统计时时钟为clk=1Hz,选择另一时钟clk_lk=1kHz作为产生闹铃声、报时音的时钟信号。 主控电路状态表如表1-1所示。硬件系统示意图如图1-2所示。 表 1-1 数字钟主控电路状态表

南京理工大学EDA(1)实验报告

EDA设计(一)实验报告

实验一单级放大电路的设计与仿真 一.实验要求 设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(峰值10mV) ,负载电阻5.1kΩ,电压增益大于50。 调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。 调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度最大。在此状态下测试: 1、电路静态工作点值; 2、三极管的输入、输出特性曲线和 、 Rbe 、Rce值; 3、电路的输入电阻、输出电阻和电压增益; 4、电路的频率响应曲线和fL、fH值。 二.实验原理 三极管在工作正常放大区时,可以起到放大的作用。但三极管工作在放大区的前提是直流电源为三极管提供合适的静态工作点。如果三极管的静态工作点不合适,则会导致放大出现饱和或截至失真,而不能正常放大。 当三极管工作在合适的静态点时,三极管有电压放大的作用。此时表征放大电路的交流参数为输入电阻,输出电阻以及电压放大倍数。 由于电路中有电抗元件电容,另外三极管PN结也有等效电容的作用,所以,对于不同频率的交流输入信号,电路的电压放大倍数是不同的。电压放大倍数与频率的关系定义为频率特性。

三.单级放大电路原理图 四.实验步骤 1.调节电路静态工作点(调节电位计Rw),用示波器观察电路出现饱和失真、截止失真和使电路输出信号不失真(并且幅度最大)时输出信号波形,并测试对应的静态工作点值。 (1)当电位计R w为0%时(即滑动变阻器取0欧姆时)电路出现饱和失真; 饱和失真波形为下图:

由波形图可以看出波形的下部明显被削平,波形处于失真状态,因此可得到饱和失真有削底现象。 此时,电路饱和失真时的静态工作点值为: 即管压降ce V =0.1V ,be U =0.659V ,基极电流b I =0.13mA ,集电极电流c I =3mA 。 根据以上数据可分析得ce V

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

格雷码、二进制转换电路,vhdl南京理工大学紫金学院实验报告,eda

EDA技术与应用 实验报告 实验名称:格雷码、二进制转换电路 姓名: 学号: 班级:通信 时间:2013 南京理工大学紫金学院电光系

实验目的 1)学习用VHDL代码描述组合逻辑电路的方法。 2)掌握whe n…else…和gen erate 并行语句的使用。 实验原理 1、格雷码、二进制码转换理论分析 4位格雷码二进制转换的真值表如图1。 G5G1Gi G*Bi Bl RO fl Q Q U Q V Q0 0V D丄b a01 €0110Q i0 01e0a*1 打1100100 1110101 亦1G10110 416011ft 110]a00 t10丄1001 11111Q10 111u1a I] 10101140 10111101 10611110 i4001i11 图14 由真值表得到的表达式如下: B3 G3 B2 B3 G2 B1 B2 G1 BO B1 G0 对于n位二进制码转换为格雷码的码转换电路,转换表达式如下: g n a n g i a i 1 a i 2、GENERIC GENERAT语句 a、GENERIC GENERIC被称为参数传递映射语句,它描述响应的元件类属参数间的衔接和传送方式。 参数传递语句用于设计从外部端口改变原件内部参数或结构规模的元件,也可称其为类书元件。该语句在改变电路结构或元件硬件升级方面显得尤为便捷。

其语句格式为: generic map(param.list)port map(port list); 例:generic(n:integer:=7); 该语句定义了参数N为整数,且赋值为7。 b、GENERAT语句 电路某部分由同类元件构成,这类同类元件叫做规则结构,如:ROM,RA,M 移位寄存器 等规则结构可以用生成语句来描述。生成语句可以用for_generate 语句描述。 其书写格式如下: 标号:for 循环变量in 离散范围generate 并行处理语句; end generate 标号; 其中,标号是for_generate 语句的唯一标识符,是可选项;循环变量的值在每一次循环中都发生变化;离散范围用来指定变量循环的取值范围,循环变量实际上规定了循环的次数;循环变量每去一个只就执行一次并行语句。 该语句和for_loop 语句很类似,但是二者也有一定的区别。For_generate 语句中执行的语句为并行语句,而for_loop 语句中执行的语句为顺序语句。 三、实验内容 1、建立工程、输入代码先建立工程,工程命名为“ b_to_g ”, 顶层文件名为“ b_to_g ”。 选择“ File->New ”,在弹出的窗口中选择“ VHDL File ”建立“ VHDL文件。在新建的VHDL文件中输入格雷码、二进制码转换的VHDL代码。将文件保存。 代码如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity b_to_g is generic(n:integer:=7); port(b:in std_logic_vector(n downto 0); g:buffer std_logic_vector(n downto 0)); end; architecture rhg of b_to_g is begin g(n)<=b(n); b1:for i in 0 to n-1 generate g(i)<=b(i+1) xor b(i); end generate b1; end;

EDA课程设计-多功能数字钟

EDA课程设计 实用多功能数字钟 学院:机械与电子工程学院 专业:电子科学与技术 班级: 学号: 姓名: 指导教师: 2012年1月13日

摘要:本次课程设计用Verilog语言,选择Quartus II 开发工具来设计多功能数字钟。就在前不久,我们还刚刚完成了单片机的多功能时钟的设计,继而又做EDA电子钟实验。通过两者之间的对比可以发现EDA的许多特点。电子设计自动化(EDA Electronic Design Automation)技术是一种以计算机作为工作平台,以EDA软件工具为开发环境,以硬件描述语言和原理图描述为设计入口,以可编程逻辑器为实验载体,以ASIC、SOC和SOPC嵌入式系统为设计目标,以数字系统系统设计为应用方向的电子产品自动化设计技术。而QuartusⅡ是Altera 公司推出的的第四代PLD开发系统。主要用于设计新器件和大规模CPLD/FPGA。使用QuartusⅡ的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,QuartusⅡ把这些设计转自动换成最终所需的格式。设计方法分为:硬件描述语言,verilog语言描述。verilog语言描述可能精确和简练地表示电路的逻辑功能,现在PLD的设计过程中广泛使用。以上是对EDA和QuartusⅡ的了解。本次EDA的课程设计虽然时间有限,但是设计的题目《多功能数字钟》很贴近人的日常生活,让我们学生对最基本的电子产品有个深入的认识。本次的课程设计是基于Verilog HDL的多功能数字钟,完成时、分、秒的显示功能。设计利用Verilog HDL语言自顶向下的设计理念,突出其作为硬件描述语言的良好的可读性、可移植性以及易于理解等优点。整个程序语言功能主要包含计时,闹钟,校时,整点报时等功能。 关键词:EDA软件,Verilog语言,Quartus II 开发工具,多功能时钟

单级放大电路,南京理工大学紫金学院eda实验报告

EDA(二)模拟部分电子线路仿真实验报告 实验名称:单级放大电路 姓名: 学号: 班级:通信 时间: 2013.4 南京理工大学紫金学院电光系

一.实验目的 1.三极管输入输出特性曲线分析; 2.掌握放大电路静态工作点的测试方法; 3.掌握放大电路动态参数的测试方法; 4.静态工作点对动态参数的影响以及失真分析 二、实验原理 分析静态工作点一般采用估算法求解,其步骤为: (1)画出电路的直流通路 (2)选择回路计算基极电位V B (3)选择合适的回路计算I E、I B、U CE 利用软件有两种方法求得电路的静态工作点,一种用万用表测量,另一种利用DC Operating Point仿真手段来得到。 放大电路的动态分析主要分析电路三个参量Au、Ri、Ro,首先应画出微变等效电路图。 三.实验内容 2.1 1.电路图

2、静态分析 理论分析:步骤 1.画出电路的直流通路 2.选择回路计算基极电位V B 3.选择合适的回路计算I E ,I B ,U CE 所用分压偏置电路直流通路如图所示:

基极电流I B 很小,故I B <

eda 实现多功能数字钟

一、标题:EDA实现多功能数字钟 二、任务书:设计要求是用FPGA器件和EDA技术实现多功能数字钟的设计, ⑴控制功能包括①以数字形式显示时、分、秒的时间;②小时计数 器为24进制;③分、秒计数器为60进制;④有两个使能端起到校 时、校分的作用,同时按无效;⑤每小时的59分51、53、55、57、 59分别以四长声一短声进行模拟电台仿真;⑥让信号灯在晚上19 点至早上5点亮;⑵在Max+plusⅡ软件系统平台上建立多功能数 字钟电路的顶层电路文件并完成编译和仿真,并对器件进行下载检 查。 三、关键词:数字钟原理电路编译仿真下载 四、数字钟电路系统的组成框图: 五、各功能模块设计、仿真波形及其分析说明: 1、小时计时模块:

仿真波形: 分析说明: 当小时的高四位为0、1时,小时的低四位为九时,在下一个时钟的上跳延来了之后,高四位加一;当小时的高四位为2,同时低四位为3时,小时的高低 四位都清零。实现从00到23的循环计数。

2、分钟计时模块: 仿真波形: 分析说明: 当分钟的高四位为0、1、2、3、4时,小时的低四位为九时,在下一个时钟的上跳延来了之后,高四位加一;当分钟的高四位为5时,同时低四位为9时,

分钟的高低四位都清零,实现从00到59的循环计数。 3、秒计时模块(与分计时模块相同); 4、校时、校分模块: 仿真波形:

分析说明: SWM、SWH两开关先设置1,秒时钟,分时钟,小时时钟分别设置为不同频率的时钟,当开关SWM置0即按下时,秒时钟CPS对分钟进行校对,即如图所示CPM在SWM为0时频率与CPS相同;同理,当SWH为0时用秒时钟对小时进行校对,即CPH在SWH为0时频率与CPS相同。当SWM、SWH 都不为0时,分钟、小时正常计时。 5、整点报时模块:

南京理工大学EDA设计 多功能数字钟的设计

EDA数字钟的设计 指导老师:谭雪琴 姜萍 姓名:张羊 学院:电子工程与光电技术学院专业:真空电子技术 学号:0804620127 完成时间:2011年4月

目录: 一.设计要求……………………………………………………………… 二.方案论证……………………………………………………………… 三.子模块设计…………………………………………………………… 1.频率源设计…………………………………………………………… ①模48计数器的设计……………………………………………… ②模1000计数器的设计…………………………………………… ③模2计数器的设计……………………………………………… ④ 1KHZ脉冲的设计………………………………………………… ⑤ 500HZ脉冲的设计……………………………………………… ⑥ 1HZ脉冲的设计………………………………………………… 2.计数部分电路设计…………………………………………………… ①模60计数器的设计……………………………………………… ②模24计数器的设计……………………………………………… ③计数器的设计…………………………………………………… 3.清零和保持电路的设计………………………………………………

4.校分和校时电路的设计……………………………………………… 5.报时电路的设计……………………………………………………… 6.显示电路的设计……………………………………………………… ①模6计数器的设计……………………………………………… ② 24选4(或六选一)数据选择器的设计………………………… ③动态显示设计…………………………………………………… 7.最终总体电路………………………………………………………… 四.附加闹铃功能………………………………………………………… ①频率源的设计…………………………………………………… ②闹铃的设计………………………………………………………五.编程下载……………………………………………………………… 六.实验总结和感受………………………………………………………七.参考文献………………………………………………………………

南京理工大学数字计时器设计实验报告EDA

数字计时器设计实验报告 姓名:XX 学号:10042104XX 班级:10042104 实验时间:2012年9月17日

目录1 摘要2 关键词2 正文2 实验目2 电路功能设计要求2 设计内容简介3 设计原理3 秒脉冲发生电路3 计时电路5 译码显示电路6 清零电路7 校分电路8 报时电路8 实验中遇到的问题和解决方法10 附录11 参考文献11 电路总图11 元件清单11 芯片引脚图和功能表12

摘要:本次实验分部设计时钟产生电路、计数电路、显示和驱动电路、快速循环校分、开机或手动清零电路、报时电路并分别调试,最终组合测试的方式完成了9:59秒(循环)计时电路的设计.按设计实验完成之后可以实现多种功能.本文介绍了各个部分的功能,阐述了设计方法并且展示了线路布局. 关键词:计数七段显示时钟清零 正文: 一.实验目的 1.掌握常规电子器件的使用方法,了解各个器件的参数; 2.初步了解电子功能器件的按功能分部设计方法. 二.电路功能设计要求 1、设计制作一个0分00秒~9分59秒的多功能计时器,设计要求如下: a.设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ),为报时电路提供驱动蜂鸣 器的高低脉冲信号(1KHZ、2KHZ); b.设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能; c.设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计 时器进行手动清零. d.设计校分电路:在任何时候,拨动校分开关,可进行快速校分.(校分隔秒) e.设计报时电路:使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低 音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz); f.系统级联.将以上电路进行级联完成计时器的所有功能.

EDA课程设计 多功能数字钟设计程序清单 数字系统设计与verilog HDL(第四版) 王金明

EDA课程设计 多功能数字钟设计程序清单 数字系统设计与verilog HDL(第四版) 王金明 /*引脚锁定基于DE2一70,芯片为EP2C70F896,信号定义如下: Clk50m: 50MHz 时钟输, mode: 模式选择0:计时模式1:设置闹钟模式 mcheck: 手动调整时间 turn: 手动调整时间,在时、分之间选择 change: 对选中的数据调整 led hourl,led_hour0,led_minul,led_minu0,led_secl,led sec0; alert: 闹钟输出 ld_alert: 是否设置了闹钟 ld_hour,id_min,ld_sec:在调整时,指示选中了时,分还是秒*/ moduleclock(clk50m,mode,turn,change,mreset,led_hour1,led_hour0,led_minu1,led _minu0,led_sec1,led_sec0, alert,ld_alert,ld_check,ld_hour,ld_min,ld_sec); input clk50m; input mode; // key0键 input turn; //keyl键 input change; // key2 键 input mreset; //switch0复位,低电平有效 output alert; //gpioO->IOAO output ld_alert; //ledgO-led19 output ld_check; //ledgl-led22 output ld_hour; //ledr3-led13 output ld_min; //ledr9-led9

南理工EDA2 DDS实现 基于quartus

一、设计内容简介 DDS即Direct Digital Synthesizer数字合成器,是一种基于全数字技术从相位概念出发直接合成所需波形的一种频率合成技术。具有相对带宽大,频率转换时间短,分辨力高,相位连续性好等优点,很容易实现频率相位和幅度的数控调制,广泛应用于通讯领域。本实验是设计一个频率和相位均可控制的具有正弦和余弦输出的直接数字频率合成器。 二、设计目的 1·学习运用EDA技术,使用FPGA实现直接数字频率合成器(DDS)。 2·熟悉掌握QuartusⅡ软件的使用方法。 3·熟悉掌握各芯片的逻辑功能及使用。 4·了解下载板结构及其引脚分配。 5·提高动手能力以及提出问题分析问题解决问题的能力。 三、实验设计要求 (1)设计基本要求 1、利用QuartusII软件和SmartSOPC实验箱实现DDS的设计; 2、DDS中的波形存储器模块用Altera公司的Cyclone系列FPGA芯片中的RAM实现,RAM结构配置成212×10类型; 3、具体参数要求:频率控制字K取4位;基准频率fc=1MHz,由实验板上的系统时钟分频得到; 4、系统具有使能功能; 5、利用实验箱上的D/A转换器件将ROM输出的数字信号转换为模拟信号,能够通过示波器观察到正弦波形; 6、通过开关(实验箱上的Ki)输入DDS的频率和相位控制字,并能用示波器观察加以验证; (2)设计提高部分要求 1、通过按键(实验箱上的Si)输入DDS的频率和相位控制字,以扩大频率控制和相

位控制的范围;(注意:按键后有消颤电路) 2、能够同时输出正余弦两路正交信号; 3、在数码管上显示生成的波形频率; 4、充分考虑ROM结构及正弦函数的特点,进行合理的配置,提高计算精度; 5、设计能输出多种波形(三角波、锯齿波、方波等)的多功能波形发生器; 6、基于DDS的AM调制器的设计; 7、自己添加其他功能。 四、实验设计原理 直接数字频率合成器(Direct Digital Frequency Synthesizer)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。工作原理是:先将已经数字化的正弦波(或三角波、方波)信号的幅值数据存储在波形存储器ROM 或RAM 中,然后在标准时钟的作用下,通过控制电路按照一定的地址关系从ROM 中读出来,送入D/A转换为模拟波形,再通过低通滤波器,将D/A输出波形上的阶梯和毛刺滤除,即可获得所需波形的模拟信号。整体电路工作原理图如下图所示。 DDS工作流程示意图如下图所示。 (1)频率预置与调节电路 作用:实现频率控制量的输入;不变量K被称为相位增量,也叫频率控制字。 (2)累加器

EDA多功能数字时钟设计实验报告

EDA(一)设计(2)之 多 功 能 数 字 钟 南京理工大学 电子科学与光电技术学院2005级 作者: 高策学号: 0504220224 同组: 黄文浩学号: 0504220242 指导教师:蒋立平时间:08-3-18

目录 内容摘要 (3) 一.引言 (4) 二、实验要求 (4) 三、方案论证 (5) 四、各模块设计 (6) 1、分频器模块 (6) 2、计时模块 (8) 3、闹铃模块 (10) 4、彩铃模块 (12) 5、显示模块 (13) 6、整点报时模块 (14) 7、秒表模块 (15) 8、万年历模块 (16) 9、动态显示模块 (19) 10、闪烁模块 (20) 五、整体电路功能综述 (22) 六、实验中的问题及解决方法 (24) 七、总结体会 (25) 八、实验的收获与感受 (26)

中文摘要 本实验利用QuartusII软件,结合所学的数字电路的知识设计一个24时多功能数字钟,具有正常分、秒计时,动态显示,保持、清零、快速校分、整点报时、闹钟功能。 文章分析了整个电路的工作原理,还分别说明了各子模块的设计原理和调试、仿真、编程下载的过程,并对最终结果进行总结,最后提出了在实验过程中出现的问题和解决的方案。 通过实验掌握了一些逻辑组合器件的基本功能和用法,同时体会到了利用软件设计电路的方便快捷,避免了硬件布线的繁琐,提高了效率。 关键词数字计数器动态显示保持清零快速校分整点报时闹钟软件设计 外文摘要 Title DIGITAL CLOCK DESIGN PROPOSAl Abstract Using the QuartusII, we design a digital clock of 24 hours with learning electric circuit knowledge. The circuit can keep the time, display, reset, adjust the minute and hour, ring the time in the round number time and alarm clock. The paper has analyzed the principle of all work and explained the designing principle of different parts separately. By debugging, simulating, compiling, programming, I put forward a matter and give a settling plan. I know about the basic functions and using method of some electric pieces in this experiment. At the same time, I realized the convenience of making use of the software to carry on the electric circuit, which is fast, avoided the hardware cloth line tedious, and raised the efficiency. Keywords digital counter, dynamic display, keep, clear, check time, time, alarm clock,software design

相关文档
相关文档 最新文档