文档库 最新最全的文档下载
当前位置:文档库 › 基于simulink的综合通信系统的设计报告

基于simulink的综合通信系统的设计报告

基于simulink的综合通信系统的设计报告
基于simulink的综合通信系统的设计报告

基于simulink的通信系统的课程设计报告

学院:信息与电气工程

姓名:刘彦杰

学号:0704040327

班级:通信工程3班

指导老师:席在芳、王志强、唐志军

2010年12月30

综合通信系统的设计

一、目的与任务

综合通信系统课程设计是通信系统专业教学的一个实践性与综合性环节,是通信工程专业各门课程的综合以及通信、信息、信号处理等基本理论与实践相结合的部分。主要是为了让学生利用所学的专业知识以及实践环节所积累的经验,结合实际的通信系统的各个环节,设计出一个完整的综合通信系统,并进一步加深学生对通信系统的深入理解,培养学生涉及通信系统的能力,为毕业设计和以后的工作打下良好的基础。

1.目的

1、掌握通信系统的基本构成;

2、掌握通信系统工作原理;

3、了解通信系统设计的基本过程;掌握基本理论和解决实际问题的方法,锻炼学

生综合分析问题解决问题的能力;

4、为学生的毕业设计和以后的工作打下良好的基础。

2.任务

1、设计通信系统的各个环节;

2、将上述设计好的各个环节设计成一个综合通信系统。

二、工具介绍

本课程设计主要是利用simulink、通信系统工具箱以及信号处理工具箱来完成通信系统的设计与仿真

1、Simulink

Simulink是MATLAB提供的实现动态系统建模和仿真的一个软件包。它让用户把精力从编程转向模型的构造,经常与其它工具箱一起使用,实际上,许多工具箱里的模块都被封装成了Simulink模块。

2、通信系统工具箱及其功能

MATLAB中的通信系统工具箱是一个运算函数和仿真模块的集合体,可以用来进行通信领域的研究、开发、系统设计和仿真。通信系统工具箱中包含的模块可以直接使用,并且允许使用者方便的进行修改,使其满足自己的设计和运算需要。

通信系统工具箱是以MATLAB个Simulink为工作平台运行的。

通信系统工具箱的内容包括:

2.1.1 Simulink仿真模块:Continuous(连续)、Discrete(离散)、Functions&Tables (函数和平台)、Math(数学)、Nonlinear(非线性)、Signals&Systems(信号与系统)、Sink(接收器)、Sources(源)等子库。

2.1.2 MATLAB函数

通信系统工具箱提供了应用于通信系统的大量函数,这些函数包括:

信号源函数;信源编/译码函数;差错控制编码函数;调制/解调函数;发送/接收滤波器

函数;信道函数;多址接入函数;同步函数;使用函数。

2.2 信号处理工具箱

信号处理工具箱提供了很多有关信号处理的操作,从波形的生成到滤波器的设计与实现,从参数化模块到频谱分析,范围非常广泛。

2.3 通信工具箱模块库

通信工具箱模块库的组成即是一个通信系统的结构框图,如下图所示:

框图上面一行是通信系统的发送部分,下面一行是通信系统的接收部分。由图中可以看出,接收部分模块和发送部分模块完全对称。

三、课程设计任务和要求

1.熟悉使用Simulink库中的模块

利用Simulink提供的基本模块设计一个模拟信号积分并仿真对比以及设计自己所需的子模块。

2.通信工具箱和信号处理工具箱的使用

熟悉使用通信工具箱和信号处理工具箱库中的模块。1、信号发生和观测设备;2、信源编/译码;3、差错控制编/译码;4、调制与解调;5、信号多址接入;6、发送/接收滤波器;7、信道;8、同步与其他;9、信号设计;10、信号处理;11、滤波器设计等。

3.简单通信系统的设计

利用通信工具箱和信号处理工具箱设计一个模拟信号的抽样、量化、编码、译码并回复原信号,显示设计过程中的波形的变化和仿真。

4.简单通信系统的编/译码的设计

4.1利用通信工具箱和信号处理工具箱设计一模拟信号的DPCM编译码,显

示设计过程中的变化与仿真。

4.2利用通信工具箱和信号处理工具箱设计DPCM和PCM通信系统,显示

设计过程中的波形变化与仿真。

DPCM仿真模型结构图

PCM仿真模型结构图

5.综合通信系统的设计

按照通信系统结构图,充分利用MATLAB工具箱,按照模块化设计方法,设计一个完整的通信系统。

在此综合系统中我选择了两个信源,一个是正弦信号,另一个是方波信号。两个信号源的图形如下图所示:

四、课程设计心得体会

通过本次课程设计,进一步了解了基本的通信系统的组成及其在Simulink函数库里面的表示方式。深入了解了通信系统各部分的作用。也进一步加深了对课本知识的理解。更重要的是深入的学习了matlab和Simulink的使用,例如,在设计中,画好了基本的框架图之后还要对某些参数进行调整,整个系统才能导通工作。整个过程为以后的学习工作打下一定的基础。

通信系统综合设计报告——光照强度监测系统设计

目录 第一章概述 (2) 第一节课题背景与意义 (2) 第二节课题设计要求与指标 (2) 第二章系统方案选择与确定 (3) 第一节硬件系统方案选择 (3) 一、光照采集模块方案选择 (3) 二、无线传输模块方案选择 (3) 三、 LCD显示模块方案选择 (4) 四、 MCU模块方案选择 (4) 第二节软件系统方案选择 (4) 第三章系统硬件设计与实现 (6) 第一节采集端硬件设计 (6) 一、光照采集模块设计 (7) 二、ATmega16L最小系统模块设计 (8) 三、无线传输模块设计 (9) 第二节终端硬件设计 (10) 一、LCD显示模块设计 (11) 二、变压电路设计 (12) 第四章系统软件设计与实现 (13) 第一节程序整体设计 (13) 第二节光照采集与AD转换程序设计 (13) 第三节无线传输程序设计 (14) 第四节LCD显示程序设计 (16) 第五节程序下载 (17) 第四章测试结果及讨论 (18) 第一节LCD显示测试 (18) 第二节光照采集与显示测试 (19) 心得体会 (21) 参考文献 (22) 附录 (23) 一、器件清单 (23) 二、工具清单 (23) 三、实物图 (24) 四、程序代码 (24)

第一章概述 第一节课题背景与意义 在现代农业和工业领域,经常需要对一些环境参数进行监测,以做出相应处理,确保设备和系统运行在最佳状态。随着科技的发展,对环境参数监测系统的要求也越来越高;因此基于传感器、单片机和无线通信芯片设计出一种无线环境参数监测系统十分的重要。 光照强度是一个重要的环境参数,在工业和农业领域有着重要的应用,本课程设计介绍一种可以应用在许多领域的无线光照强度监测系统,实现对环境中的光照强度进行实时采集处理、无线传输与显示的功能。 本文的主要研究工作集中在光照强度监测系统的设计上,通过C语言编程对单片机进行控制,使单片机控制光照采集传感器、无线通信芯片和LCD,实现系统功能。在本课题的基础上可以设计完成一个高速、方便、稳定的环境数据监测采集和传输系统,可以广泛应用于现代农业和工业领域。 第二节课题设计要求与指标 本系统以环境光照强度为研究对象,应满足的要求与指标为: 1、监测点光照强度测量精确,精度大于0.1lux; 2、将监测点的参数数据以无线方式发送至汇节点,并LCD显示,要求分立元件实现的无线传输距离大于20cm,无线传输模块实现的传输距离大于1km; 3、无线传输设备具有较强的抗干扰能力; 4、设备具有较高的实时性; 5、设备功耗功耗较低。

通信工程专业综合实验报告..

通信工程专业综合实验 实验报告 (移动通信系统和网络协议部分) 姓名: 学号: 班级: 指导教师:

实验一:主被叫实验 一、实验目的 1、掌握移动台主叫正常接续时的信令流程。 2、了解移动台主叫时被叫号码为空号时的信令流程。 3、了解移动台主叫时被叫用户关机或处于忙状态时的信令流程。 4、了解移动台主叫时被叫用户振铃后长时间不接听的信令流程。 5、掌握移动台被叫正常接续时的信令流程。 6、掌握通话结束呼叫释放时的信令流程。 7、了解被叫用户振铃后长时间不接听时移动台被叫的信令流程。 二、实验仪器 1、移动通信实验箱一台; 2、台式计算机一台; 3、小交换机一台: 三、实验原理 处于开机空闲状态的移动台要建立与另一用户的通信,在用户看来只要输入被叫号码,再按发送键,移动台就开始启动程序直到电话拨通。实际上,移动台和网络要经许多步骤才能将呼叫建立起来。以移动台和移动台进行通信为例,就包括主叫移动台和主叫MSC建立信令链接、主叫MSC通过被叫电话号码对被叫用户进行选路,即寻找被叫所处的MSC、被叫MSC寻呼被叫MS并建立信令连接过程等三个过程。本实验主要是让学生掌握移动通信中移动台主叫时MS和MSC之间的信令过程、以及为了完成通话连接,主叫MSC和被叫MSC之间的信令过程(即七号信令中的部分消息)。 四、实验内容 1、记录正常呼叫的过程中,移动台主叫部分和被叫部分的信令流程 2、记录被叫关机时,移动台主叫部分的信令流程 3、记录被叫振铃后无应答时,移动台主叫部分和被叫部分的信令流程 4、记录被叫号码无效时,移动台主叫的信令流程 5、记录通话结束后,呼叫链路释放的信令流程 五、实验步骤 主叫实验: 1、通过串行口将实验箱和电脑连接,给实验箱上电。将与实验箱相连的电脑上的学生平台程序打开。在主界面上双击“主叫实验”图标,进入此实验界面。 2、点击“初始化”键,看到消息框中出现“初始化”完成。再点击“开机”键,从而使移动台处于开机状态。

通讯录管理系统课程设计报告完整版

通讯录管理系统课程设 计报告 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

设计课题题目 一、课程设计目的与要求 1.课程设计目的 (1)综合运用之前所学知识(选择控制,循环控制,数组,函数,指针,结构体和文件等)来完成一个简单的信息管理程序的设计。 (2)充分体现和体会函数在程序设计中的必要性和实用性,并反映主函数main ()在程序设计中的实现思路和方法。 2. 课程设计要求 制作一个通讯录系统。 (1)该程序具有查找、添加、修改、删除功能。 (2)通讯录包括:姓名、电话、街道、城市、省、邮编等。 二、总体设计 根据系统的要求,系统总体设计如图1所示。 1

printf("\t--------------------\n"); printf("\t请您选择(0-7):"); scanf("%d",&c); }while(c>7&&c<0); return(c); } int Input(struct date per[10],int n) { int i=0; char sign,x[10]; while(sign!='n'&&sign!='N') { printf("\t姓名:"); scanf("%s",per[n+i].name); printf("\t电话号码:"); scanf("%s",per[n+i].phone); printf("\t电子邮箱:"); scanf("%s",per[n+i].email); printf("\tQQ:"); scanf("%s",per[n+i].QQ); gets(x); printf("\n\t是否继续添加?(Y/N)"); fflush(stdin); ame,per[i-1].phone,per[i-1].QQ,per[i-1].email); if(i>1&&i%10==0) { printf("\t-----------------------------------\n"); printf("\t"); system("pause"); printf("\t-----------------------------------\n"); } } printf("----------------------------------------------------------------------\n"); system("pause"); } int Delete_a_record(struct date per[10],int n) { char s[20]; int i=0,j; printf("\t请输入想删除记录中的名字:"); scanf("%s",s); while(strcmp(per[i].name,s)!=0&&i

通信综合实训系统实验报告

通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1. 通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2. 掌握程控交换机配置数据的意义及原理; 3. 根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10 程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023 分配到ASLC 板 卡的0~23 端口,并用7000000 拨打7000001 电话,按照实验指导书方法创建模拟用 户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机 1 套 维护终端若干 电话机若干四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】, 出现如下的对话框,输入操作员名【SYSTEM】, 口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”---- “告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号 1 ,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】, 点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】, 当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号 2 ,MP内存128 ,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照 图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]: 1. 新增模块 点击【新增模块】,填完模块号,选中紧凑型外围交换模块,点击确定,返回开始的对话 框。

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

通信技术综合实验报告

综合实验报告 ( 2010-- 2011年度第二学期) 名称:通信技术综合实验题目:SDH技术综合实验院系:电子与通信工程系班级: 学号: 学生姓名: 指导教师: 设计周数:两周 成绩: 日期:2011年 6 月

A C B D S1 P1S1 P1 主用 备用 AC AC 环形保护组网配置实验 一、实验的目的与要求 1、实验目的: 通过本实验了解2M 业务在环形组网方式时候的配置。 2、实验要求: 在SDH1、SDH2、SDH3配置成环网,开通SDH2到SDH3两个节点间的2M 业务,并提供环网保护机制。 1)掌握二纤单向保护环的保护机理及OptiX 设备的通道保护机理。 2)掌握环形通道保护业务配置方法。采用环形组网方式时,提供3套SDH 设备,要求配置成虚拟单向通道保护环。 3)了解SDH 的原理、命令行有比较深刻,在做实验之前应画出详细的实际网络连接图,提交实验预习报告,要设计出实验实现方案、验证方法及具体的步骤。 4)利用实验平台自行编辑命令行并运行验证实验方案,进行测试实验是否成功。 二、实验正文 1.实验原理 单向通道保护环通常由两根光纤来实现,一根光纤用于传业务信号,称S 光纤;另一根光纤传相同的信号用于保护,称P 光纤。单向通道保护环使用“首端桥接,末端倒换”结构如下图所示: 业务信号和保护信号分别由光纤S1和P1携带。例如,在节点A ,进入环以节点C 为目的地的支路信号(AC )同时馈入发送方向光纤S1和P1。其中,S1光纤按ABC 方向将业务信号送至节点C ,P1光纤按ADC 方向将同样的信号作为保护信号送至分路节点C 。接收端分路节点C 同时收到两个方向支路信号,按照分路通道信号的优劣决定选其中一路作为分路信号,即所谓末端选收。正常情况下,以S1光纤送来信号为主信号。同时,从C 点插入环以节点A 为目的地的支路信号(CA)按上述同样方法送至节点A 。

通信系统课程设计

课程设计任务书 学生姓名:周全专业班级:信息sy0901 指导教师:刘新华工作单位:信息工程学院 题目:通信系统课群综合训练与设计 初始条件:MA TLAB 软件,电脑,通信原理知识 要求完成的主要任务: 1、利用仿真软件(如Matlab或SystemView),或硬件实验系统平台上设计 完成一个典型的通信系统 2、学生要完成整个系统各环节以及整个系统的仿真,最终在接收端或者精 确或者近似地再现输入(信源),计算失真度,并且分析原因。 时间安排: 指导教师签名: 2013 年 1 月 1 1日 系主任(或责任教师)签名: 2013 年 1 月 11 日

目录 摘要 (2) Abstract (3) 1设计任务 (4) 2实验原理分析 (5) 2.1 PCM原理介绍 (5) 2.1.1 抽样(Sampling) (5) 2.1.2 量化(quantizing) (5) 3. 基带传输HDB3码 (12) 4.信道传输码汉明码 (14) 5.PSK调制解调原理 (15) 6. AWGN(加性高斯白噪声) (18) 7.仿真结果 (19) 8.心得体会 (23) 9.参考文献 (24) 附录 (25)

摘要 通信系统是一个十分复杂的系统,在具体实现上有多种多样的方法,但总的过程却是具有共性的。对于一个模拟信号数字化传输,过程可分为数字化,信源编解码,信道编解码,调制解调,加扰等。本实验利用MATLAB实现了PCM编码,HDB3码,汉明码,psk调制,AWGN及对应的解调过程,完整实现了一个通信系统的全部过程。MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学计算软件的先进水平。 关键字:通信系统,调制,解调,matlab

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

通信原理实验四 实验报告 抽样定理与PAM系统实训

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:■验证□综合□设计□创新实验日期:实验成绩:实验四抽样定理与PAM系统实训 一、实验目的 1.熟通过对模拟信号抽样的实验,加深对抽样定理的理解; 2.通过PAM调制实验,使学生能加深理解脉冲幅度调制的特点; 3.通过对电路组成、波形和所测数据的分析,了解PAM调制方式的优缺点。 二、实验原理 1.取样(抽样、采样) (1)取样 取样是把时间连续的模拟信号变换为时间离散信号的过程。 (2)抽样定理 一个频带限制在(0,f H) 内的时间连续信号m(t),如果以≦1/2f H每秒的间隔对它进行等间隔抽样,则m(t)将被所得到的抽 样值完全确定。 (3)取样分类 ①理想取样、自然取样、平顶取样; ②低通取样和带通取样。 2.脉冲振幅调制电路原理(PAM) (1)脉冲幅度调制系统 系统由输入电路、高速电子开关电路、脉冲发生电路、解调滤波电路、功放输出电路等五部分组成。 图 1 脉冲振幅调制电路原理框图 (2)取样电路 取样电路是用4066模拟门电路实现。当取样脉冲为高电位时,

取出信号样值;当取样脉冲为低电位,输出电压为0。 图 2 抽样电路 图 3 低通滤波电路 三、实验步骤 1.函数信号发生器产生2KHz(2V)模拟信号送入SP301,记fs; 2.555电路模块输出抽样脉冲,送入SP304,连接SP304和SP302,记fc; 3.分别观察fc>>2fs,fc=2fs,fc<2fs各点波形; 4.连接SP204 与SP301、SP303H 与SP306、SP305 与TP207,把扬声 器J204开关置到1、2 位置,触发SW201 开关,变化SP302 的输入 时钟信号频率,听辨音乐信号的质量. 四、实验内容及现象 1.测量点波形 图 4 TP301 模拟信号输入 图 5 TP302 抽样时钟波形(555稍有失真) fc=38.8kHz ①fc>>2fs,使fs=5KHz: 图 6 TP303 抽样信号输出1 图7 TP304 模拟信号还原输出1 ②fc=2fs,使fs=20KHz: 图8 TP303 抽样信号输出2 图9 TP304 模拟信号还原输出2 ③fc<2fs,使fs=25KHz: 图10 TP303 抽样信号输出3 图11 TP304 模拟信号还原输出3 2.电路Multisim仿真 图12 PAM调制解调仿真电路 图13 模拟信号输入 图14 抽样脉冲波形 图15 PAM信号 图16 低通滤波器特性 图17 还原波形 更多学习资料请见我的个人主页:

即时通讯系统的设计与实现毕业设计论文

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

通信原理综合实验数字频带传输系统的仿真报告解析

课程名称数字通信综合实验 题目数字频带传输系统的仿真 专业电子信息工程 班级 学号 姓名 指导教师 地点 时间:2015年7月04日至2015年7月08日

摘要 此次课程设计主要运用MATLAB集成环境下的Simulink仿真平台对2ASK频带传输系统仿真,并把运行仿真结果输入到显示器,根据显示器结果分析设计的系统性能。在设计中,目的主要是仿真通信系统中频带传输技术中的ASK调制。产生一段随机的二进制非归零码的频带信号,对其进行ASK调制后再加入加性高斯白噪声传输,在接收端对其进行ASK解调以恢复原信号,观察还原是否成功。通过Simulink的仿真功能摸拟到了实际中的2ASK 调制与解调情况。 关键词:Simulink ;高斯白噪声;调制与解调

第1章前言 (4) 1.设计平台 (4) 2. Simulink (5) 第2章通信技术的历史和发展 (7) 2.1通信的概念 (7) 2.2 通信的发展史简介 (9) 2.3通信技术的发展现状和趋势 (9) 第3章2ASK的基本原理 (10) 3.1 2ASK定义 (10) 3.2 2ASK的调制 (11) 3.3 2ASK的解调 (11) 第4章2ASK频带系统设计方案 (12) 4.1仿真系统的调制与解调过程 (12) 4.2 SIMULINK下2ASK系统的设计 (12) 第5章仿真结果分析 (17) 第6章出现的问题及解决方法 (23) 第7章总结 (24) 参考文献 (24)

第1章前言 在现代数字通信系统中,频带传输系统的应用最为突出。将原始的数字基带信号,经过频谱搬移,变换为适合在频带上传输的频带信号,传输这个信号的系统就称为频带传输系统。在频带传输系统中,根据数字信号对载波不同参数的控制,形成不同的频带调制方法。幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波数字形式的调制信号在控制下通断,此时又可称作开关键控法(OOK)。本设计中选择正弦波作为载波,用一个二进制基带信号对载波信号的振幅进行调制,载波数字信号1或0的控制下通或断,在信号为1的状态载波接通,此时传输信道上有载波出现;在信号为0的状态下,载波被关断,此时传输信道上无载波传送,调制后的信号的频带宽度为二进制基带信号宽度的两倍,此制称为二进制振幅键控信号。 数字调制就是对基带数据信号进行变换,实现信号频谱的“搬移”数据的发送端进行搬移的过程称作“调制”,在称作调制器的设备中完成。在数据的接收端,有一个相反的变换被称作“解调”的过程,解调过程在称作解调器的设备中完成。经过调制的后的信号在一个很高的频段上占有一定的带宽,由于所处频段很高,使得其最高频率和最低频率的相对偏差变小(最高频率和最低频率的比值略大于1),这样的信号称为频带信号或射频信号,相应的传输系统称作频带传输系统。 数字频带传输系统或带通信号是现代通信系统的非常重要部分,通过调制来时信号与信道特新相匹配从而达到效果、传输为目的。数字频带传输系统既可用于低速数据信道,而可以用于中、高速数字信道,其应用很广泛,因此研究数字频带传输系统具有非常重要的义。理解和掌握二进制数字调制通信系统的各个关键环节,包括调制、解调、滤波、传输、噪声对通信质量的影响等。在数字信号处理实验课的基础上更加深入的掌握数字滤波器的设计原理及实现方法。是学习者对系统各关键点的信号波形及频谱有深刻的认识。设计或分析一个简单的通信系统,可以进一步理解通信系统的基本组成、模拟通信和数字通信的基础理论、通信系统发射端信号的形成及接收端信号解调的原理、通信系统信号传输质量的检测等方面的相关知识。 1.设计平台 MATLAB是美国MathWorks公司生产的一个为科学和工程计算专门设计的交互式大型

通信系统设计报告

通信系统课程设计报告 题目:模拟线性调制系统的 建模、设计与计算机仿真分析 学院xx 专业班级xx 学生姓名xx 学生学号xx 提交日期 2015.6.28

目录 1 设计目的 (2) 2 设计要求和设计指标 (2) 3 设计内容 (3) 3.1线性调制的一般原理 (3) 3.2常规双边带调制AM (4) 3.2.1 AM调制工作原理 (4) 3.2.2 AM调制解调仿真电路 (5) 3.2.3 AM调制解调仿真结果与分析 (5) 3.3双边带调制DSB (9) 3.3.1 DSB调制解调工作原理 (9) 3.3.2 DSB调制解调仿真电路 (9) 3.3.3 DSB调制解调仿真结果与分析 (10) 3.4单边带调制SSB (14) 3.4.1 SSB调制解调工作原理 (14) 3.4.2 SSB调制解调仿真电路 (15) 3.4.3 SSB调制解调仿真结果与分析 (16) 4 本设计改进建议 (19) 5 总结 (19)

参考文献 (20) 2 设计目的 (1)使学生掌握系统各功能模块的基本工作原理; (2)培养学生掌握电路设计的基本思路和方法; (3)能提高学生对所学理论知识的理解能力; (4)能提高和挖掘学生对所学知识的实际应用能力即创新能力; (5)提高学生的科技论文写作能力。 2 设计要求和设计指标 (1)学习SystemView仿真软件; (2)对需要仿真的通信系统各功能模块的工作原理进行分析; (3)提出系统的设计方案,选用合适的模块; (4)对所设计系统进行仿真; (5)并对仿真结果进行分析。

3 设计内容 3.1 线性调制的一般原理 模拟调制系统可分为线性调制和非线性调制,本课程设计只研究线性调制系统的设计与仿真。线性调制系统中,常用的方法有AM 调制,DSB 调制,SSB 调制。 线性调制的一般原理: 载波:)cos()(0?ω+=t A t s c 调制信号:)cos()()(0?ω+=t t Am t s c m 式中()t m —基带信号。 线性调制器的一般模型如图3-1 在该模型中,适当选择带通滤波器的冲击响应()t h ,便可以得到各种线性调制信号。 线性解调器的一般模型如图3-2。 图3-2线性解调系统的一般模型 其中()t s m —已调信号,()t n —信道加性高斯白噪声。

杭电通信系统课程设计报告实验报告

通信系统课程设计实验报告 XX:田昕煜 学号:13081405 班级:通信四班 班级号:13083414 基于FSK调制的PC机通信电路设计

一、目的、容与要求 目的: 掌握用FSK调制和解调实现数据通信的方法,掌握FSK调制和解调电路中相关模块的设计方法。初步体验从事通信产品研发的过程. 课程设计任务:设计并制作能实现全双工FSK调制解调器电路,掌握用Orcad Pspice、Protel99se进行系统设计及电路仿真。 要求:合理设计各个电路,尽量使仿真时的频率响应和其他参数达到设计要求。尽量选择符合标称值的元器件构成电路,正确完成电路调试。 二、总体方案设计 信号调制过程如下: 调制数据由信号发生器产生(电平为TTL,波特率不超过9600Baud),送入电平/幅度调整电路完成电平的变换,再经过锁相环(CD4046),产生两个频率信号分别为30kHz和40kHz(发“1”时产生30kHz方波,发“0”时产生40kHz方波),再经过低通滤波器2,变成平滑的正弦波,最后通过线圈实现单端到差分信号的转换。

信号的解调过程如下: 首先经过带通滤波器1,滤除带外噪声,实现信号的提取。在本设计中FSK 信号的解调方式是过零检测法。所以还要经过比较器使正弦信号变成方波,再经过微分、整流电路和低通滤波器1实现信号的解调,最后经过比较器使解调信号成为TTL电平。在示波器上会看到接收数据和发送数据是一致的。 各主要电路模块作用: 电平/幅度调整电路:完成TTL电平到VCO控制电压的调整; VCO电路:在控制电压作用下,产生30KHz和40KHz方波; 低通2:把30KHz、40KHz方波滤成正弦波; 线圈:完成单端信号和差分信号的相互转换; 带通1:对带外信号抑制,完成带信号的提取; 限放电路:正弦波整形成方波,同时保留了过零点的信息; 微分、整流、脉冲形成电路:完成信号过零点的提取; 低通1:提取基带信号,实现初步解调; 比较器:把初步解调后的信号转换成TTL电平 三、单元电路设计原理与仿真分析 (1)带通1(4阶带通)-- 接收滤波器(对带外信号抑制,完成带信号的提取) 要求通带:26KHz—46KHz,通带波动3dB; 阻带截止频率:fc=75KHz时,要求衰减大于10dB。经分析,二级四阶巴特沃斯带通滤波器来提取信号。 具体数值和电路见图1仿真结果见图2。

通信系统课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 通信系统课群综合训练与设计 初始条件:MATLAB 软件,电脑,通信原理知识 要求完成的主要任务: 1、利用仿真软件(如Matlab或SystemView),或硬件实验系统平台上设计完 成一个典型的通信系统 2、学生要完成整个系统各环节以及整个系统的仿真,最终在接收端或者精确或 者近似地再现输入(信源),计算失真度,并且分析原因。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) Abstract (4) 1.引言 (1) 1.1通信系统简介 (1) 1.2 Matlab简介 (1) 2.系统设计 (2) 2.1通信系统原理 (2) 2.2 系统整体设计 (3) 3.子系统设计 (4) 3.1脉冲编码调制(PCM) (4) 3.1.1抽样(Samping) (5) 3.1.2量化(Quantizing) (5) 3.1.3编码(Coding) (6) 3.2 Manchester码编解码 (7) 3.2.1曼切斯特编码原理 (8) 3.2.2曼切斯特解码原理 (8) 3.3循环码编解码 (9) 3.3.1循环码编码原理 (10) 3.3.2循环码解码原理 (11) 3.3.3纠错能力 (11)

3.4 ASK调制与解调 (12) 3.5 衰落信道 (13) 4软件设计及结果分析 (14) 4.1 编程工具的选择 (14) 4.2 软件设计方案 (14) 4.3 编码与调试 (15) 4.4 运行结果及分析 (16) 5心得体会 (21) 参考文献 (21) 附录 (22) 摘要 在数字通信系统中,需要将输入的数字序列映射为信号波形在信道中传输,此时信源输出数字序列,经过信号映射后成为适于信道传输的数字调制信号,并在接收端对应进行解调恢复出原始信号。本论文主要研究了数字信号的传输的基本概念及数字信号传输的传输过程和如何用MATLAB软件仿真设计数字传输系统。首先介绍了本课题的理论依据,包括数字通信,数字基带传输系统的组成及

通信系统仿真实验报告(DOC)

通信系统实验报告——基于SystemView的仿真实验 班级: 学号: 姓名: 时间:

目录 实验一、模拟调制系统设计分析 -------------------------3 一、实验内容-------------------------------------------3 二、实验要求-------------------------------------------3 三、实验原理-------------------------------------------3 四、实验步骤与结果-------------------------------------4 五、实验心得------------------------------------------10 实验二、模拟信号的数字传输系统设计分析------------11 一、实验内容------------------------------------------11 二、实验要求------------------------------------------11 三、实验原理------------------------------------------11 四、实验步骤与结果------------------------------------12 五、实验心得------------------------------------------16 实验三、数字载波通信系统设计分析------------------17 一、实验内容------------------------------------------17 二、实验要求------------------------------------------17 三、实验原理------------------------------------------17 四、实验步骤与结果------------------------------------18 五、实验心得------------------------------------------27

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

相关文档
相关文档 最新文档