文档库 最新最全的文档下载
当前位置:文档库 › 交通灯控制

交通灯控制

交通灯控制
交通灯控制

1.交通灯控制器

1.1设计目的:

(1)能显示十字路口东西、南北两个方向的红、黄、绿的指示状态

用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯。

1.2基本要求:

(1)设计一个具有三种信号灯(红、黄、绿)的十字路口交通灯控制器,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆留出时间停在禁行线外;

(2)主、支干道交替允许通行,主干道每次放行60秒,黄灯亮5秒;支干道放行45秒,黄灯亮5秒。

1.3发挥部分:

(1)按sl键后,能实现特殊状态功能:

(2)显示到计时的两组数码管闪烁;

(3)计数器停止计数并保持在原来的状态:

(4)东西、南北、路口均显示红灯状态:

(5)特殊状态解除后能继续计数。

1.4 设计过程的基本要求

(1)完成基本要求;发挥部分选作。

(2)符合设计要求的报告一份。

(3)设计过程的资料、草稿要求保留并随设计报告一起上交;报告的电子档需全班统一存盘上交。

2.设计思路

此次我课程设计的题目是交通灯控制电路,根据此次课程设计的要求,我设计的交通控制电路基本由四个部分组成。第一个部分是控制电路,第二部分是定时电路,第三部分是译码显示电路,第四部分是秒信号发生电路。

2.1 控制电路

第一个部分是控制电路,采用了四个74LV74型号的D触发器及74LS112D的JK触发器,分别控制主干道绿灯倒计时、支干道绿灯倒计时、主干道黄灯倒计时、支干道黄灯倒计时及他们的熄灭状况,形成一个环形控制电路,来循环控制每一部分电路工作。再由控制主干道绿灯的CP和控制主干道黄灯的CP来控制支干道红灯倒计时电路工作,由控制支干绿灯的CP脉冲和控制支干黄灯的CP脉冲来控制主干道红灯倒计时电路工作。

当开关关断时,在D端加入信号,触发第一个D触发器,Q端输出高电平控制主干道绿灯和支干道红灯进行倒计时。当主干道的绿灯倒计时结束之后产生一个低电平信号,与支干道黄灯输出的高电平进行同或运算输出一个低电平信号来使第一个D触发器的清零端有效,继而在Q非端输出一个高电平触发第二个D触发器工作,控制主干道黄灯倒计时及支干道红灯倒计时工作,。当第二个D触发器控制的倒计时结束之后在输出端产生一个低电平信号来使第二个D触发器的清零端有效,Q非端输出高电平触发第三个D触发器工作,此时支干道绿灯进行倒计时。当支干道绿灯倒计时结束,计数器输出的信号加入到第三个D触发器清零端使之有效,于是Q非端输出高电平信号触发第四个D触发器工作,此时支干道黄灯开始计时。当支干道黄灯的计数器结束时,由主干道绿灯的计数器和支干道黄灯的计数器通过同或门输出的高电平信号加入到第一个D触发器的清零端,使之无效,再一次循环。

2.2 定时电路

第二部分是定时电路,在定时电路中包括秒发生器、60进制减法计数器、55进制减

法计数器、45进制减法计数器、40进制减法计数器、5进制减法计数器、计数器CP端控制电路。其中,60进制减法计数器用来给支干道红灯计时,55进制减法计数器用来给主干道绿灯计时,45进制减法计数器用来给主干道红灯计时,40进制减法计数器用来给支干道绿灯计时,5进制减法计数器用来给主、支干道黄灯计时。

主干道和支干道的红绿灯倒计时电路和黄灯倒计时电路采用可预置4位可逆计数器74190来实现。7419O既是加法器又是减法器,根据功能表,我们采用的是十进制可逆减法计数器,清零端的优先级高于预置端,且均为高电平有效,均需要上升沿的CP脉冲配合同时加到计数器来触发计数器进行倒计时,结束之后BO端由原来的高电平变为低电平。

计数器CP端控制电路由555振荡器和分频器74161组成标准的秒信号发生器。555定时器是一种将模拟电路和数字电路混合在一起的集成电路,本次设计中选用的555是一种应用特别广泛、作用很大的的集成电路,属于小规模集成电路,在很多电子产品中都有应用。555的作用是用内部的定时器来构成时基电路,给其他的电路提供时序脉冲。由555多谐振荡器提供的秒脉冲信号,经过三片级联的74290分频器发出1Hz的秒脉冲信号,加入到电路图中各计数器和D触发器的CP端

2.3 译码显示电路

第三部分是译码显示电路,由于要求在主干道和支干道绿灯、红灯、黄灯亮期间进行倒计时显示,就应有译码显示电路,可将定时电路60进制、55进制、45进制、40进制、5进制计数器的输出分别接支干道红灯、主干道绿灯、主干道红灯、支干道绿灯、主支干道黄灯译码显示电路。在主电路部分中包括主干道交通灯电路、支干道交通灯电路、译码显示电路。译码显示电路采用七段显示译码器74HC4511,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为某值,然后以每秒减1计数方式工作,直至减到数为“0”时,十字路口绿、黄、红灯变换,一次工作循环结束,而进入下一步某方向的工作循环。

译码就是把给定的代码进行翻译,变成相应的状态,用于驱动七段数码管,只要在它的输入端输入,七段数码管就能显示十进制数字。选用的译码器为74HC4511,输出高电平有效,接共阴极七段显示器。

倒计时显示采用七段数码管作为显示,它由计数器驱动并显示计数器的输出值。显示

译码器的主要功能为把计数器中的输出的编码一对一的编译成显示器所用的编码,值1,2,3,4,5,6,7,8八个数。此显示器为共阴极显示器当接低电平时显示器根据计数的状态来显示数值。

译码就是把给定的代码进行翻译,变成相应的状态,用于驱动七段数码管,只要在它的输入端输入,七段数码管就能显示十进制数字。选用的译码器为74HC4511,输出高电平有效,接共阴极七段显示器。

2.4 秒信号发生电路

第四部分是秒信号发生电路,计数器的工作必须由秒脉冲来配合工作,分频电路的功能是对方波信号发生器的方波信号进行分频处理,形成计时所需要的标准秒脉冲信号,秒脉冲发生器是该控制器的信号源。

本次设计中利用555定时器组成秒脉冲信号发生器。555芯片有单稳态电路功能,可发生周期T=0.7*(R1+2*R2)*C的脉冲信号。该设计中电路输出的脉冲信号为方波信号,可适当的选择电阻、电容使其输出信号的频率为1KHz,利用三个74161进行三次是分频,最后得到周期为1秒的方波信号,这样构成了秒脉冲发生器。

用555振荡电路产生2的12次方Hz的方波信号,本电路中采用片中规模集成电路74160可以构成分频电路产生所信号,第一片是C端输出频率为2的8次方Hz的方波,第二片是C端输出频率为2的4次方Hz的方波,第三片是C端输出频率为1Hz的方波,把产生的1Hz的方波信号送给各计数器的CP脉冲端供计数工作。

3 设计方框图

图3.1主要功能方框图

Figure 3.1 the main function block diagram

根据以上分析可以画出交通灯控制器的原理图。它主要由主控制器、秒脉冲发生器、计数器、七段译码器、译码显示电路和行人提醒设备部分构成。脉冲发生器是由一个555

振荡器和三个分频器来实现的,四个D触发器构成电路的控制部分,也是该设计的核心部分,通过计数器的定时作用,译码器的译码作用和显示器的显示作用实现了循环,即主干道绿灯——支干道红灯,主干道黄灯——支干道红灯,主干道红灯——支干道绿灯,主干道红灯——支干道黄灯。报警电路是由555多谐振荡器与扬声器组成。

4 各部分电路设计及参数计算

4.1 电路组成和功能简介

十字路口交通信号灯控制电路由四部分组成:1.控制部分 2.计数、译码、显示部分 3.分频器部分4.发挥部分电路

4.1.1 控制电路部分

控制电路部分由六个D触发器构成及两个JK触发器构成,第一个JK触发器控制支干道红灯,与之并列的JK触发器控制主干道绿灯。电路图上面前两个D触发器分别控制支干道绿灯及支干道黄灯,下面前两个D触发器用于控制主干道黄灯及主干道主干道红灯。在没有工作之前,主干道红灯的计数器和支干道绿灯的计数器通过同或门输出高电平信号加入到第一个D触发器的清零端,使之无效。当工作时,在D端加入信号,触发第一个JK 触发器,Q端输出高电平通过非门来转换成为低电平,再加到JK触发器输入时钟信号端控制主干道绿灯和支干道红灯进行倒计时,此时主干道绿灯和干道红灯同时亮。同时将JK 触发器的信号作为反馈引入到输入端,保证了LD置数端只在初始时置一次数而非每次有脉冲信号时都置数。当主干道的绿灯倒计时结束之后产生一个低电平信号,与支干道黄灯输出的高电平进行同或运算输出一个低电平信号来使第一个D触发器的清零端有效,继而在Q非端输出一个高电平触发D触发器工作,控制主干道黄灯倒计时及支干道红灯倒计时工作,此时第一个D触发器D端输出低电平控制主干道绿灯熄灭。当第二个触发器控制的倒计时结束之后在输出端产生一个低电平信号来使第二个触发器的清零端有效,Q非端输出高电平触发第三个D触发器工作,此时支干道绿灯进行倒计时。当支干道绿灯倒计时结束,计数器输出的信号加入到第三个D触发器清零端使之有效,于是Q非端输出高电平信号触发第四个D触发器工作,此时支干道黄灯开始计时。当支干道黄灯的计数器结束时,由主干道绿灯的计数器和支干道黄灯的计数器通过同或门输出的高电平信号加入到第一个JK触发器使之再次重新循环。

D 2

C L R

1

Q

5

Q

6

CLK 3

图4.1.1(a) D 触发器的逻辑符号 图4.1.1(b )JK 触发器级非门 Figure 4.1.1 (a) D trigger logic symbols Figure 4.1.1 (b) JK trigger level gate

4.1.2 计数、译码、显示部分

由D 触发器输出的高电平信号经非门转换成低电平送入计数器的计数报告端,使得计数器开始进行倒计时工作,同时,计数器与译码器级联使得显示器显示数字,倒计时结束之后,输出的低电平经过或门控制触发器的连环触发。译码器将计数器输出的信号进行译码输送给显示器,图中的显示器和译码器均为共阴极。

图4.1.2 计数译码显示电路 Fig 4.1.2counting decoding display circuit

一般数字系统中处理和运算结果都是用二进制编码、BCD码或其他编码表示的,要将最终结果通过LED显示器用十进制数显示出来,就需要先用译码器将运算结果转换成段码,当然,要使发光二极管发亮,还需要提供一定的驱动电流,所以,这两种显示器也需要有相应的驱动电路。

译码器的工作原理就是所谓译码就是把给定的代码进行翻译,变成相应的状态,用于驱动LED七段数码管,只要在它的输入端输入8421码,七段数码管就能显示十进制数字。选用的译码器为7448输出高电平有效,接共阴极七段显示器。

时间显示部分由六只共阴极的半导体数码管组成。由此可见,它由八只发光二极管组成(其中一只显示小数点)。工作时,要求发光二极管的共阴极接电源。当某一发光二极管阳极加上高电平时,相应的发光二极管导通,这一段(或点)就会发光。若要显示十进制的十个数码0~9,只要在相应的字段阳极上加高电平即可。为限制各发光二极管的电流,可在它们的公共阳极上串联一只240 Ω左右的限流电阻。

4.1.3 秒脉冲信号

秒脉冲发生器是该控制器的信号源,本次设计中利用555定时器组成秒脉冲信号发生器。555芯片有单稳态电路功能,可发生周期T=0.7*(R1+2*R2)*C的脉冲信号。该设计中电路输出的脉冲信号为方波信号,可适当的选择电阻、电容使其输出信号的频率为1KHz,利用三个74161进行三次是分频,最后得到周期为1秒的方波信号,这样构成了秒脉冲发生器。

电路组成:555定时器是一种将模拟电路和数字电路混合在一起的集成电路,本次设计中选用的555是一种应用特别广泛、作用很大的的集成电路,属于小规模集成电路,在很多电子产品中都有应用。555的作用是用内部的定时器来构成时基电路,给其他的电路提供时序脉冲。由555多谐振荡器提供的秒脉冲信号,经过三片级联的74161分频器发出1Hz的秒脉冲信号,加入到电路图中各计数器的CP端

若选集成计数器74161,74161是一个具有同步清零、同步置数、可保持状态不变的4位二进制加法计数器。表4.1.3是它的状态表。

表4.1.3 74161的状态表

Table 4.1.3 74161 state table

图4.1.3(a)秒脉冲波形

Figure 4.1.3 (a) second pulse waveform

图4.1.3 (b)秒脉冲信号的逻辑电路图

Figure 4.1.3 (b) second circuit of pulse signal 5 工作过程分析

本次课程设计的题目是交通灯控制电路,此电路由控制部分,译码显示部分、时钟脉冲部分和发挥报警部分组成。时钟部分采用555多谐振荡器和三个十六进制的计数器74161级联作为分频器以产生1Hz的秒脉冲信号。计数、译码、显示部分包括主干道绿灯倒计时45秒译码显示电路、支干道绿灯倒计时25秒译码显示电路、主干道红灯倒计时50秒译码显示电路、支干道红灯倒计时30秒译码显示电路,共用了10片七段译码器7448、 10片74190可逆十六进制减法计数器。

在控制电路部分,我采用了6个D触发器配合秒脉冲发生器来分别控制主干道绿灯倒计时35秒的工作、支干道绿灯倒计时20秒的工作、主干道黄灯灯倒计时5秒的工作、支干道黄灯倒计时5秒的工作。

控制电路部分由六个D触发器构成,均用来控制下一个交通灯状态的输入。

在没有工作之前,主干道红灯的计数器和支干道绿灯的计数器通过同或门输出高电平信号加入到第一个D触发器的清零端,使之无效。当工作时,在D端加入信号,触发第一个JK触发器,Q端输出高电平通过非门来转换成为低电平,再加到JK触发器输入时钟信号端控制主干道绿灯和支干道红灯进行倒计时,此时主干道绿灯和干道红灯同时亮。同时将JK触发器的信号作为反馈引入到输入端,保证了LD置数端只在初始时置一次数而非每次有脉冲信号时都置数。当主干道的绿灯倒计时结束之后产生一个低电平信号,与支干道黄灯输出的高电平进行同或运算输出一个低电平信号来使第一个D触发器的清零端有效,继而在Q非端输出一个高电平触发D触发器工作,控制主干道黄灯倒计时及支干道红灯倒计时工作,此时第一个D触发器D端输出低电平控制主干道绿灯熄灭。当第二个触发器控制的倒计时结束之后在输出端产生一个低电平信号来使第二个触发器的清零端有效,Q非端输出高电平触发第三个D触发器工作,此时支干道绿灯进行倒计时。当支干道绿灯倒计时结束,计数器输出的信号加入到第三个D触发器清零端使之有效,于是Q非端输出高电平信号触发第四个D触发器工作,此时支干道黄灯开始计时。当支干道黄灯的计数器结束时,由主干道绿灯的计数器和支干道黄灯的计数器通过同或门输出的高电平信号加入到第一个JK触发器使之再次重新循环。

6 元器件清单

7 主要元器件介绍

7.1 D 触发器

7.1.1功能表

表7.1.1 D 触发器的功能表 Table 7.1.1 D trigger function table

CP D Q n+1111

1

00000011

7.1.2逻辑符号

图7.1.2 D 触发器的逻辑符号

Fig7.1.2 Logical symbols D flip-flop

7.1.3 工作说明

当清零端无效时,D 端加入高电平信号,则Q 端输出的信号随D 端变化输出高电平,另一端输出低电平;当清零端有效时,则Q 非端随D 端信号变化输出高电平,Q 端输出低电平。

7.2减法计数器

7.2.1功能表

表7.3.1的减计数器74190的功能表 Table 7.3.1 minus 74190 functional counter table

输 入

输 出MR PL P 3

P 2

P 0

P 1

Q 3Q 2Q 1Q 0CP U CP D

11

1

1

100

000000a

a

c

b

d

c

b

d

加计数减计数

7.2.2逻辑符号

图7.2.2 减计数器74190的逻辑符号 Fig7.2.2Subtract counter symbolic logic 74190

7.2.3 工作说明

7419O 既是加法器又是减法器,根据功能表,我们采用的是十进制可逆减法计数器,清零端的优先级高于预置端,且均为高电平有效,均需要上升沿的CP 脉冲配合同时加到计数器来触发计数器进行倒计时,结束之后rclko 端由原来的高电平变为低电平。

7.3 译码器

7.3.1功能表

表7.3.1 译码器74HC4511的功能表

Table 7.3.1 decoder 74HC4511 function table

7.3.2逻辑符号

U38

74HC4511

图7.3.2 译码器74HC4511的辑符号

Figure 7.3.2 decoder 74HC4511 logic symbols

7.3.3 工作说明

(1)A3,A2,A1,A0是四位二进制数码输入信号;a,b,c,d,e,f,g是七段译码输出信号;LT、RBI、RI/RBO是使能端,它们起辅助控制作用,从而增强了这个译码/驱动器的功能。

(2) 三个辅助控制信号(使能端) 的说明:

试灯输入LT:当LT = 0, BI/RBO=1时,不管其他输入是什么状态,a至g七段全为1,则它接的显示器各段笔划全亮显示。因此可作检验数码管和电路用。

灭灯输入BI:当BI = 0,不论其他输入状态如何,a至g均为0,显示管熄灭。因此,灭灯输入BI可用作是否显示控制。

动态灭灯输入:在LT=1,RBI=0时,如果A3A2A1A0为0000时,a至g均为0,各段熄灭;而A3A2A1A0为非0000信号时,则照常可显示。

动态灭灯输出RBO :它与输入BI联结在一起。当BI=0或RBI=0且LT=1,A3A2A1A0为0000时,这个输出端才为0。

7.6 分频器 74LS90(74290)

图7.1.1引脚图

Fig7.1.1 pin out diagram

7.1.2功能表

7.1.3 功能介绍

是常用的二-五-十进制异步计数器,做八进制的就先把74LS90接成十进制的(INB 与QA接,以CPA做输入,Q3做输出就是十进制的),然后用异步置数跳过一个状态达到八进制计数。以从0000计到0111为例:先接成加法计数状态,从上图中的74LS90功能表可知,在输出为1000时(既Q4为高电平时)把Q4输出接到R01和R02脚上(即异步置0),这个时候当计数到1000时则立刻置0,重新从0开始计。1000的状态为瞬时状态。

小结

为期一周的电子课程设计很快就结束了。在这短短的一周的时间里我受益匪浅,在本次课程设计中在知识方面我学习到了很多有用的专业知识,同时我的动手能力得到了很大的提高。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。同时这次设计也让我扩展了许多数电方面的知识,为以后的工作奠定了一定的基础,

在这一周的数电设计实习中,我充分的感觉到了设计的不易,对设计人员有了敬佩之意,是他们才使得我们能够有现在这么现代化的生活的,有方便的设施,改善了我们的生活,提高了我们的生活品质。

本次课程设计的课题是数字交通灯控制器,我深知自己的知识面很有限,我所掌握的东西对本次课程设计是远远不够的。虽然有些知识老师上课讲过,但并非是听懂了就能用的。通过几天的搜集资料,再结合我们本次设计的要求,初步拟定了设计方案,画出粗略的电路图。在此电路图的基础上,根据每个单元电路的功能选择系列芯片,再根据总体电路对芯片的要求及芯片本身的具体功能,选择具体型号的芯片,从而达到实现所要求的功能的目的。

我们开始是什么都不会,只局限于书本的知识,没有深入的理解,只有死记硬背,完全没有怎样应用的概念,可以用盲目来概括当时的状况,接下来,组员们有的上网,有的查资料,有的查书,还有的从学哥学姐那里吸取经验,总之我们是各有发挥,各使奇招,都想尽快的把需要的器件找到以便有充足的时间来进行绘图。布局、绘图、连线、查引脚图,带着错误和不足,每天经过老师的指导和纠错,我们一遍遍的改正,终于离最后的成品又近了一步,图绘制完毕,我们又进行报告的编写,经历一周的没黑日没白天的设计,我们终于在规定的日子内完成了。

设计一个系统是要求有较强的逻辑思维能力,那么我就需要一个系统的统筹和规划。我是本科班,所以学校和老师对我们的要求都很高,要求我们不仅需要手稿要求我们电子板的报告还有原理图。其次,是自己做事变得更加严谨,因为电子中是用高低频来表示的,所以一不小心就会出错。尤其是对于生疏的用电脑画图,这就要求我们更加的细心与耐心。在课程设计的过程中也锻炼了我解决问题与困难的能力,在运用的元件与画图的技巧上也

提高了。最后,是通过设计我利用知识的能力有了明显的提高,这也是我设计中最大的收获。

经历了这周,我们对所学的知识又有了深入的了解。组员之间密切的配合也让我们之间加深了友谊,图书馆的资源更加会利用了。我们也知道了今后的路还很长,需要我们学习的东西还很多,只有不断的去学习才不会“书到用时方恨少”。我的获得远远不止这些,在这里就不一一列举了,最刻骨铭心的就是挥之不去的困意。

致谢

通过一周的数电设计,我不仅学到了很多新的数电知识,扩大了自己的知识面,而且学到了如何将理论知识与实际应用相结合。这将是我以后学习工作的最宝贵的一笔财富,终身受益。

在设计的过程中,难免有许多考虑不周全的地方,如果没有导师的督促指导,以及同学们的支持,我想这个设计是不会完成的这么顺利的完成。在我设计的每个阶段从查阅资料到设计方案的确定和修改,老师和同学们都给了我很多的帮助

在本次课程设计中,首先我要感谢我们的指导老师于源老师,于老师在此次课程设计中给予我热情而耐心的指导。于老师不仅在我的原理图、接线图初稿设计时纠正了我许多错误,还指导了我应该去查找哪些方面的资料去完善这次设计,弥补出现的设计漏洞。使我的设计有一个明确的主导思想,能够顺利而有条不紊地进行。在她的帮助下,我学到了许多以前没有学到的东西。因此,本次课程设计的顺利完成,与老师的大力支持和帮助是分不开的。

其次我要感谢我的小组成员,跟我一起设计的同学们,在我的论文写作过程中他们提出了很多建设性的意见,并给了我很多启发,使我的论文能够顺利的完成。在我设计出现障碍不能继续进行的时候,通过和他们一起讨论研究,最终攻克了许多难题,并且因为交流思想心得,也学到了许多新知识,在这里,谢谢你们真心的帮助。

最后感谢学校给我们提供这种独立自主研究性学习的机会,充分开发了我们的创新能力。课程设计中这些老师与同学们给了我莫大的信心。

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

交通灯控制器的设计

交通灯控制器的设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

电子设计自动化实训说明书 题目:交通灯控制器的设计 系部:信息与控制工程学院 专业:电子信息工程 班级: 06级1班 学生姓名: 朱清美学号: 015 指导教师:张建军 2009年12月21日 目录 1摘要............................................................... 2设计任务与要求..................................................... 3设计原理及框图..................................................... 4单元电路设计及仿真调试............................................. 状态控制器的设计................................................ 状态译码器设计及仿真调试........................................ 定时系统设计及仿真调试.......................................... 秒脉冲发生器设计................................................ 5个人总结 (14) 6参考文献........................................................... 1摘要: 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。关键词:交通控制交通灯时间发生器定时器1 引言随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道

交通灯控制模拟程序

交通灯控制模拟程序设计 ;*************定义数据段*********************************************** data segment title1 db 'Traffic-System' deng db '# # #' sing1 db 'South-North' sing2 db 'East - West' sing3 db 'Red time(scend):' time db 'Time:' sing4 db 'Yellow time(scend):' buff db 4 ;键盘缓冲区 buff1 db 3 dup(?) ;工作计数区 buff2 db 3 dup(?) ;数据保存区 yellow db ? ;黄灯时间 mode db 'Mode:auto(Y/N)?:' ans db ? ting3 db 'Red time(scend):030' ting4 db 'Yellow time(scend):5' ;************************主程序段********************************************** data ends code segment assume cs:code,ds:data,es:data start:mov ax,data mov ds,ax mov es,ax call title2 ;初始化标题 q0: call mode1 ;模式选择自动或手动 call scanf call atuo ; 默认设置参数启动 lea si,ans cmp byte ptr[si],'y' jz q1 cmp byte ptr[si],'n' jnz q0 call ask1 ;设置红灯时间 call scanf0 call ask2 ;设置黄灯时间

基于PLC的智能交通灯控制系统设计 开题报告

南京师范大学中北学院
毕业设计(论文)开题报告
( 10 届)
题 目: 基于 PLC 智能交通灯控制系统设计
专 业: 电气工程及其自动化
姓 名: xxx 学 号: xxx
指导教师: xxx 职 称:
填写日期:
2014 年 2 月 20 日
南京师范大学中北学院教务处 制
开题报告填写要求
1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格

审查的依据材料之一。此报告应在指导教师指导下,由学生在毕业 设计(论文)工作前期内完成,经指导教师签署意见及院、系审查 后生效;
2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计 的电子文档标准格式(可从教务处网址上下载)打印,禁止打印在 其它纸上后剪贴,完成后应及时交给指导教师签署意见;
3.有关年月日等日期的填写,应当按照国标 GB/T 7408—94《数 据元和交换格式、信息交换、日期和时间表示法》规定的要求,一 律用阿拉伯数字书写。如“2011 年 4 月 26 日”或“2011-04-26”。
4.院系审查意见栏签章:自办专业盖中北学院教学院长签名章、 中北学院公章,非自办专业盖联办二级学院教学院长签名章、联办 二级学院公章。

毕 业 设 计(论 文)开 题 报 告
1.本课题的目的及研究意义:
随着我国交通事业的迅速发展,各种公交、运输汽车、私家车等车的急速增加,使 得城市道路交通日益堵塞,交通在许多城市已经成为“瓶颈”问题。因此,提高城市路 网的通行能力、实现道路交通的科学化管理迫在眉睫。
虽然各城市已在十字路口设置了交通灯,对交通进行了有效的疏通,但是随着社会、 经济的快速发展,原先的交通灯控制系统已经不能适应现在日益繁忙的交通状况。如何 改善交通灯控制系统,使其适应现在的交通状况,成为研究的课题。
传统的十字路口交通控制灯,通常的做法是:事先进行车流量的调查,运用统计的 方法将两个方向红绿灯的延时预先设置好。然而,实际上车辆流量的变化往往是不确定 的,有的路口在不同的时段甚至可能产生很大的差异。即使是经过长期运行、较适用的 方案,仍然会发生这样的现象:绿灯方向几乎没有什么车辆,而红灯方向却排着长队等 候通过。可见,统计的方法已不能适应迅猛发展的交通现状,更为现实的需要是:能有 一种能够根据车流量变化适时调节的交通灯控制系统。
我所要研究的就是基于 PLC 的智能交通灯控制系统。智能交通系统(ITS—— Intelligent Transport Systems)ITS 是一个跨学科、信息化、系统化的综合研究体系, 其主要内容是:将先进的人工智能技术、自动控制技术、计算机技术、信息与通讯技术 及电子传感技术等有效的集成,并应用于整个地面交通管理系统而建立的一种在大范围 内、全方位发挥作用的,实时、准确、高效的综合交通运输管理系统。由于交通系统具 有较强的非线性、模糊性和不确定性,是一个典型的分布式非线性系统,而且具有多种 信息来源、多传感器的特点,用传统的理论与方法很难对其进行有效的控制。把先进的 智能控制技术、信息融合技术、智能信息处理技术与交通管理技术结合起来,代表着城 市交通信号控制系统发展的方向。
智能交通的发展是现代社会经济发展的客观要求,交通运输是国民经济和现代社会 发展的基础。由于现代社会城市化速度越来越快、国民经济的高速增长、全球经济的一 体化进程加快、个人旅行与休闲时间的不断增加以及人们对交通需求越来越高,智能交 通便成为现代社会经济发展的客观要求。

十字路口交通灯控制设计

网络教育学院 《可编程控制器》大作业 题目:十字路口交通灯控制设计 学习中心:辽宁彰武电大学习中心 层次:高中起点专科 专业:电力系统自动化技术 年级: 2015 年秋季 学号: 151524228206 学生姓名:陈润泽

题目五:十字路口交通灯控制设计 起动后,南北红灯亮并维持30s。在南北红灯亮的同时,东西绿灯也亮,东西绿灯亮25s后闪亮,3s后熄灭,东西黄灯亮,黄灯亮2s后,东西红灯亮,与此同时,南北红灯灭,南北绿灯亮。南北绿灯亮25s后闪亮,3s后熄灭,南北黄灯亮,黄灯亮2s后,南北红灯亮,东西红灯灭,东西绿灯亮。依次循环。 十字路口交通灯控制示意图及时序图如下图所示。 设计要求:(1)首先对可编程序控制器(PLC)的产生与发展、主要性 能指标、分类、特点、功能与应用领域等进行简要介绍; (2)设计选用西门子S7-200 系列PLC,对其I/O口进行分配, 并使用STEP7-MicroWIN编程软件设计程序梯形图(梯形图 截图后放到作业中); (3)总结:需要说明的问题以及设计的心得体会。

1 设计背景 1.1 背景概述 本文对十字路口交通信号灯控制系统,运用可编程逻辑器件PLC做了软件与硬件的设计,能基本达到控制要求。系统仅实现了小型PLC系统的一个雏形,在完善各项功能方面都还需要进一步的分析、研究和调试工作。如果进一步结合工业控制的要求,形成一个较为成型的产品,则需要作更多、更深入的研究。 1.2 可编程逻辑控制器简介 可编程逻辑控制器(Programmable Logic Controller,简称 PLC) 根据国际电工委员会(IEC)在1987年的可编程控制器国际标准第三稿中,对其作了如下定义:“可编程控制器是一种数字运算操作的电子系统,专为在工业环境应用而设计的。它采用可编程序的存储器,用来在其内部存储执行逻辑运算,顺序控制,定时,计数与算术运算等操作的指令,并通过数字式、模拟式的输入和输出,控制各种类型的机械或生产过程。可编程控制器及其有关外部设备,都应按易于使工业控制系统形成一个整体,易于扩充其功能的原则设计。”可编程控制器作为目前工业自动化的重要基础设备,被称为“工业自动化三大支柱性产业之一”,在各工业生产领域发挥着愈来愈大的作用。 2 十字路口交通信号灯PLC控制系统简介 2.1 控制对象及要求 2.1.1 控制对象 本系统的控制对象有八个,分别是: 东西方向红灯(R—EW)两个; 南北方向红灯 (R—SN) 两个; 东西方向黄灯(Y—EW)两个; 南北方向黄灯 (Y—SN) 两个; 东西方向绿灯(G—EW)两个;

交通灯控制系统

1选题背景 今天,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。在交通中管理引入单片机交通灯控制代替交管人员在交叉路口服务,有助于提高交通运输的安全性、提高交通管理的服务质量。并在一定程度上尽可能的降低由道路拥挤造成的经济损失,同时也减小了工作人员的劳动强度。 关键词:AT89C51;7448,LED 2方案论证 2.1设计任务 设计基于单片机的智能交通红绿灯控制系统,要求能通过按键或遥控器设置系统参数,系统运行时,“倒计时等信息”能通过数码管或点阵发光管显示,设计时应考虑交通红绿灯控制的易操作性及智能性。以单片机的最小系统为基础设计硬件,用汇编语言、或C语言设计软件。通过本设计可以培养学生分析问题和解决问题的能力,掌握Mcs51单片机的硬件与软件设计方法,从而将学到的理论知识应用于实践中,为将来走向社会奠定良好的基础。 东西(A)、南北(B)两干道交于一个十字路口,各干道有一组红、黄、绿三个指示灯,指挥车辆和行人安全通行。红灯亮禁止通行,绿灯亮允许通行,黄灯亮时车辆及行人小心通过。红灯的设计时间为45秒,绿灯为40秒,黄灯为5秒。 2.2 方案介绍 方案1设计思想: 采用分模块设计的思想,程序设计实现的基本思想是一个计数器,选择一个单片机,其内部为一个计数,是十六进制计数器,模块化后,通过设置或程序清除来实现状 态的转换,由于每一个模块的计数多不是相同,这里的各模块是以预置数和计数器计 数共同来实现的,所以要考虑增加一个置数模块,其主要功能细分为,对不同的状态输 入要产生相应状态的下一个状态的预置数,如图中A道和B道,分别为次干道的置数选 择和主干道的置数选择。 方案2 设计思想: 由两个传感器监视南北方向即A道与东西方向即B道的车辆来往情况,设开关K=1 为有车通过,K=0为没有车通过。则有以下四种情况: Ka=1时:Kb=0,表示A有车B没有车,则仅通行B道:

单片机设计方案——交通灯控制系统设计方案

单片机课程设计报告交通灯控制系统设计

摘要 本设计是针对交通灯系统的设计,由单片机AT89C51(实物用AT89S52)、键盘、LED显示、交通灯演示系统组成。单片机是把微型计算机的各功能部件集成在一块芯片中,构成的一个完整的微型计算机。AT89C51单片机是MC-51中的子系列,是一组高性能兼容型单片机,AT89C51是一个低功耗高性能的CMOS 8位单片机,40个引脚,片内含4KB Flash ROM和128B RAM,它是一个全双工的串行通行口,既可以用常规编程,又可以在线编程。 本设计中的数码管的选通采用的方法是动态显示,对每一位数码分时轮流通电显示,复位电路采用上电+按钮电平复位,时钟电路采用内部时钟产生方式。对特殊情况的处理采用中断处理方式,在中断处理程序中采用对管脚的状态查询扫描,已采取相应情况的处理。 对设计方案进行电路硬件设计,并将已编程的程序载入调试,可以得到理想的实验效果。系统包括人行道、左转、右转、以及基本的交通灯的功能.具体功能是假如 A 道和B道上均有车辆要求通过时,A、B道轮流放行。A道放行 25秒,B道放行20秒。一道有车而另一道无车,交通灯控制系统能立即让有车道放行。有紧急车辆要求通过时,系统要能禁止普通车辆通行,A、B道均为红灯,紧急车由K2 开关模拟。绿灯转换为红灯时黄灯亮 1秒钟。系统除基本交通灯功能外,还具有倒计时、时间设置、紧急情况处理、分时段调整信号灯的点亮时间以及根据具体情况手动控制等功能。

目录 1引言.......................................................................................................................................... - 1 - 1.1交通灯的重要作用........................................................................................................... - 1 -1.2该交通灯系统的特点....................................................................................................... - 1 -2系统总体方案及硬件设计 ......................................................................................................... - 2 - 2.1原理框图........................................................................................................................... - 2 -2.2设计功能........................................................................................................................... - 2 - 2.3交通灯控制系统各部分硬件组成................................................................................... - 2 - 2.3.1复位部分.................................................................................................................... - 2 - 2.3.2时钟电路部分............................................................................................................ - 3 - 2.3.3路口指示灯部分........................................................................................................ - 3 - 2.3.4显示部分.................................................................................................................... - 3 -2.4元器件清单....................................................................................................................... - 4 -3软件设计..................................................................................................................................... - 5 - 3.1交通灯控制系统软件流程图及程序分析....................................................................... - 5 - 3.1.1主程序流程图及程序模设计.................................................................................... - 5 - 3.1.2INT0中断服务程序流程图及程序模设计.............................................................. - 6 -3.2路口指示灯部分............................................................................................................... - 7 - 3.3显示部分........................................................................................................................... - 7 - 4. Proteus软件仿真 ..................................................................................................................... - 8 - 5 课程设计体会......................................................................................................................... - 10 -参考文献....................................................................................................................................... - 10 -附1:源程序代码 (13) 附2:系统原理图 (20)

基于单片机的交通灯控制系统

基于单片机的交通灯控制系统 一、实验目的 1、了解交通灯的控制方法 2、掌握8051单片机基本操作 3、掌握keil和PrOteuS软件的使用 二、实验原理 通过对十字路口的观察,发现红绿灯的控制原理:首先南北方向右转加直行的绿灯亮起。此时,东西方向为红灯;当右转加直行绿灯倒计时进入最后5秒, 绿灯切换为黄灯并开始闪烁,东西方向红灯不变;接着南北方向切换为左转灯,东西方向依然是红灯;同样当倒计时进入最后5秒时,黄灯开始闪烁。东西方向为红灯。然后东西方向的右转加直行绿灯亮起,以此类推。 三、实验内容及程序 主程序: void main (VOid)

Busy_LED=O; SPeCiaLLED=O; ITO=1; //INTO 负跳变触发 TMOD=O X OI;//定时器工作于方式1 TH0=(65536-50000)∕256;// 定时器赋初值TL0=(65536- 50000)%256; EA=1; //CPU开中断总允许 ET0=1;//开定时中断 EX0=1;//开外部INTO中断 TR0=1;// 启动定时 while(1) { Flag_EW_Yellow=0; //EW关黄灯显示信号 Time_EW=EW; Time_SN=SN; WhiIe(Time_SN>=5) {P仁S[0]; /∕SN 通行,EW红灯 DiSPIay();} P仁0x00; WhiIe(Time_SN>=0 ) {Flag_SN_Yellow=1; EW_Red=1; /∕SN //SN开黄灯信号位 黄灯亮,等待左拐信号,EW红灯 DiSPIay(); } Flag_SN_Yellow=0; /∕SN关黄灯显示信号Time_SN=SNL; WhiIe(Time_SN>=5) {P仁S[2];//SN左拐绿灯亮,EW红灯 DiSPIay();} P仁0x00; WhiIe(Time_SN>=0 ) {Flag_SN_YeIIow=1; EW_Red=1; /∕SN //SN开黄灯信号位 黄灯亮,等待停止信号,EW红灯 DiSPIay(); }

交通灯控制模拟程序

交通灯控制模拟程序设计 data segment title1 db 'Traffic-System' deng db '# # #' sing1 db 'South-North' sing2 db 'East - West' sing3 db 'Red time(scend):' time db 'Time:' sing4 db 'Yellow time(scend):' buff db 4 ;键盘缓冲区 buff1 db 3 dup(?) ;工作计数区 buff2 db 3 dup(?) ; 数据保存区 yellow db ? ; 黄灯时间 mode db 'Mode:auto(Y/N)?:' ans db ? ting3 db 'Red time(scend):030' ting4 db 'Yellow time(scend):5' ?************************ J data ends code segment assume cs:code,ds:data,es:data start:mov ax,data mov ds,ax mov es,ax call title2 ; 初始化标题 q0: call mode1 ; 模式选择 自动或手动 call scanf call atuo ; 默认设置参数启动 lea si,ans cmp byte ptr[si],'y' jz q1 cmp byte ptr[si],'n' jnz q0 call ask1 ;设置红灯时间 call scanf0 call ask2 ;设置黄灯时间 ?************* 定义数据段 *********************************************** 主程序段 **********************************************

交通灯控制系统课程设计

2011年至2012年第1学期《单片机原理与应用》课程设计 班级1006402 指导教师涂立旎 学生人数___ _3__ ___ 设计份数 1 2011年12月23日

城市交通灯控制系统设计报告 1006402-42 流溪 1006402-24钱升 1006402-06毛运鹏 一.设计时间 2012年12月19日——2011年12月23日 二.设计地点 一实验楼401机房 三.设计小组及成员分工 1006402-42 流溪(组长,编写及调试程序) 1006402-24 钱升(负责设计报告的书写及资料整理) 1006402-06 毛运鹏(原理图、流程图设计) 四.指导老师 涂立老师,旎老师 五.设计题目 基于51型单片机的城市交通灯控制系统 六.设计容及目标 1.该交通灯系统的设计容 本设计是基于AT89S51单片机的十字路口交通灯控制系统,利用6个发光二极管模拟交通灯。按照时间控制原则,利用并行接口和定时器,采用时间中断方式设计一套十字路口的交通灯管理系统,通行时间(或禁止时间)30秒,准备时间3秒,在准备时间里黄灯闪烁3次,闪烁频率为0.5秒,周而复始。 2.该交通灯系统的设计目标 本系统结构简单,操作方便;可实现自动控制,具有一定的智能性;对优化城市交通具有一定的意义。本设计将各任务进行细分包装,使各任务保持相对独立;能有效改善程序结构,便于模块化处理,使程序的可读性、可维护性和可移植性都得到进一步的提高。

七.流程图与原理图及部分说明 1.程序流程图如图1所示: 图1. 程序流程图 2.主程序流程图步骤说明: (1)东西方向车道红灯亮,南北方向车道绿灯亮。表示东西方向车道上的车辆禁止通行,南北方向车道允许通行。绿灯亮足规定的时间隔时,控制器发出状态信号,转到下一工作状态。

AT89C52单片机控制交通灯系统

目录 1 交通信号灯控制系统设计任务和性能指标 (1) 设计任务 (1) 性能指标 (1) 2 交通信号灯控制系统设计方案 (1) 设计思路 (1) 设计思路 (1) 功能设计 (2) 总体设计 (2) 通行方案设计 (2) } 硬件设计方案 (4) 软件设计方案 (4) 3 交通信号灯控制系统硬件设计 (5) 系统硬件框图 (5) 单元电路设计 (5) 单片机最小系统 (5) 信号灯显示电路 (7) 倒计时显示电路 (8) 按键操作电路 (8) 4 交通信号灯控制系统程序设计 (8) - 理论基础知识 (8) 定时器原理 (8) 软件延时原理 (9) 中断原理 (9) 主程序框图 (9) 5 调试分析及所用器件 (10) 调试环境 (10) 所用芯片 (11) 6 心得体会 (11) 7 参考文献 (12) | 8 附录 (13) 附件1 程序清单 (13)

附件2 系统仿真图 (16) 摘要 交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89C52为中心器件来设计交通信号灯控制器,系统实用性强、操作简单、扩展性强。本设计系统就是由单片机最小系统、交通灯状态显示系统、LED 数码显示系统、复位电路和按键操作电路等几大部分组成。系统除具有基本的交通信号灯功能外,还具有倒计时、时间调整和紧急情况处理等功能,较好的模拟实现了十字路口可能出现的状况。 \ 软件上采用KEIL C 编程,主要编写了主程序,LED数码管显示程序,中断程序,延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 关键字:AT89C52 交通灯PROTUES 中断程序

51交通灯控制系统

MCS-51单片机课程设计报告 ——交通灯控制系统 姓名:朱正威 学号:110901418 指导老师:潘峰 东华大学信息学院自动化系 2014.6.22

目录 一、项目概述 (3) 二、系统设计 (3) 1.设计思想 (3) 2.方案可行性分析 (3) 3.总体方案 (3) 三、硬件设计 (5) 1.单片机最小系统部分 (5) 2.LED数码管串行显示部分 (5) 3.独立按键部分 (5) 四、软件设计 (6) 1.软件设计思想 (6) 2.程序流程图 (6) 3.程序清单 (7) 五、系统仿真及调试 (13) 六、结果与展望 (15) 七、参考文献 (15)

一、项目概述 项目所要设计的是交通灯控制系统,十字路口交通灯由红、绿两色LED显示器(两位8段LED显示器)组成,LED显示器显示切换倒计时,以秒为单位,每秒更新一次;为确保安全,绿LED计数到0转红,经5秒延时(显示红色0)后,另一道开始绿色倒计时。 1)主干道(A道)先通行且通行时间为45s;(加5秒红灯延时,共50秒) 2)支道(B道)通行时间为25 s;(加秒红灯延时,共30秒) 3)主道与支道的车辆交错通行; 4)若遇紧急情况,按开关时,主道与支道都为红灯20 s 5)根据实时交通堵塞情况人为控制时,按K2时,主道延时30 s通行,按K3 时,支道延时30 s通行。 设计以AT89C51为核心的控制电路,并编写相关的系统软件。 二、系统设计 1.设计思想 该模拟交通灯控制系统采用模块化结构,主要分为定时器中断控制、按键扫描、LED数码管显示三个部分。在设计完成一个方向上的红绿灯数码管计时后,再进行两个方向红绿灯的切换显示以及特殊情况下的处理。 2.方案可行性分析 采用AT89C51作为系统主控芯片,其包含两个定时器中断T0和T1、两个外部中断,以及4个I/O端口P0到P3端口。本系统采用其定时器T1进行定时,每隔一定时间定时中断一次,在中断程序内进行变量自加,以此可以设置任意长的定时时间。如设置1s的定时改变红绿灯LED数码管显示值,设置0.2m的按键扫描周期进行按键定时扫描。为了节省I/O口资源以及硬件连接上的方便,采用串口显示芯片MAX7219进行LED数码管显示部分的设计。 整个方案设计结构清晰明了,硬件连接简洁,软件编程模块清晰,经实践验证,此方案可行。 3.总体方案 在Proteus环境中进行硬件电路的设计和搭建,具体硬件电路设计如图1、2所示。在Keil环境下进行软件设计51单片机编程,为定时器中断和MAX7219串口显示和主函数部分分别建立相应的源文件和头文件,进行模块化编程。

十字路口交通灯控制 C 程序

/************************************ ***************** 十字路口交通灯控制C 程序 ************************************* *****************/ #define uchar unsigned char #define uint unsigned int #include /*****定义控制位**********************/ sbit Time_Show_LED2=P2^5;//Time_Show_LED 2控制位 sbit Time_Show_LED1=P2^4;//Time_Show_LED 1控制位 sbit EW_LED2=P2^3; //EW_LED2控制位sbit EW_LED1=P2^2; //EW_LED1控制位sbit SN_LED2=P2^1; //SN_LED2控制位sbit SN_LED1=P2^0; //SN_LED1控制位sbit SN_Yellow=P1^6;//SN黄灯 sbit EW_Yellow=P1^2;//EW黄灯 sbit EW_Red=P1^3;//EW红灯 sbit SN_Red=P1^7;//SN红灯 sbit EW_ManGreen=P3^0;//EW人行道绿灯 sbit SN_ManGreen=P3^1;//SN人行道绿灯 sbit Special_LED=P2^6;//交通正常指示灯 sbit Busy_LED=P2^7;//交通繁忙指示灯sbit Nomor_Button=P3^5;//交通正常按键 sbit Busy_Btton=P3^6;//交通繁忙按键sbit Special_Btton=P3^7;//交通特殊按键sbit Add_Button=P3^3;//时间加 sbit Reduces_Button=P3^4;//时间减 bit Flag_SN_Yellow; //SN黄灯标志位bit Flag_EW_Yellow;//EW黄灯标志位char Time_EW;//东西方向倒计时单元 char Time_SN;//南北方向倒计时单元uchar EW=60,SN=40,EWL=19,SNL=19; //程序初始化赋值,正常模式 uchar EW1=60,SN1=40,EWL1=19,SNL1=19;//用于存放修改值的变量 uchar code table[10]={0x3F,0x06,0x5B,0x4F,0x66,0x6D, 0x7D,0x07,0x7F,0x6F};//1~~~~9段选码uchar code S[8]={0X28,0X48,0X18,0X48,0X82,0X84,0 X81,0X84};//交通信号灯控制代码 /**********************延时子程序************************/ void Delay(uchar a) { uchar i; i=a; while(i--){;} } /*****************显示子函数**************************/ void Display(void) { char h,l; h=Time_EW/10; l=Time_EW%10; P0=table[l]; EW_LED2=1; Delay(2); EW_LED2=0; P0=table[h]; EW_LED1=1; Delay(2); EW_LED1=0; h=Time_SN/10; l=Time_SN%10; P0=table[l]; SN_LED2=1; Delay(2); SN_LED2=0; P0=table[h]; SN_LED1=1; Delay(2); SN_LED1=0;

交通灯控制程序

1引言 (2) 1.1编写目的 (2) 1.2背景 (2) 1.3定义 (2) 1.4参考资料 (2) 2总体设计 (2) 2.1需求规定 (2) 2.2运行环境 (2) 2.3基本设计概念和处理流程 (3) 2.4结构 (3) 2.5功能器求与程序的关系 (3) 2.6人工处理过程 (3) 2.7尚未问决的问题 (3) 3接口设计 (3) 3.1用户接口 (3) 3.2外部接口 (3) 3.3内部接口 (4) 4运行设计 (4) 4.1运行模块组合 (4) 4.2运行控制 (4) 4.3运行时间 (4) 5系统数据结构设计 (4) 5.1逻辑结构设计要点 (4) 5.2物理结构设计要点 (4) 5.3数据结构与程序的关系 (4) 6系统出错处理设计 (5) 6.1出错信息 (5) 6.2补救措施 (5) 6.3系统维护设计 (5)

概要设计说明书 1引言 1.1编写目的 创建一个可供日常交通灯使用的程序,目标读者为交通灯控制人员。 1.2背景 说明: a.交通路口复杂交通信号灯的设计 b.任务提出者,开发者:陈磊 用户:交通指挥系统 设计环境:使用Verilog HDL语言进行设计 使用Quartus 2编程环境进行开发。。 1.3定义 ORDER 选择信号 ROAD 亮灯控制信号 EN5 5秒延时使能信号 LIN5 5秒延时终止信号 EN25 25秒延时使能信号 LIN25 25秒延时终止信号 RST 系统复位信号 CLK 系统时钟信号 1.4参考资料 Verilog HDL数字系统设计与应用

2总体设计 2.1需求规定 输入项目: CLK 系统时钟信号 RST 系统复位信号 输出项目: ROAD 亮灯控制信号,ROAD=00,红灯亮;ROAD=01,黄灯亮;ROAD=10,绿灯亮;ROAD=11,黄灯亮。 处理要求: 根据ORDER的不同值,使相应的灯发亮。 2.2运行环境 运行环境:。Quartus II 9.0 2.3基本设计概念和处理流程

交通灯控制系统的设计

《微型计算机技术》 课程设计报告 题目交通灯控制系统的设计作者xx 班级xx 学号xx 指导教师xx 2005 年6月20日

《微型计算机技术》 课程设计报告 题目交通灯控制系统的设计作者xx 班级xx 学号xx 指导教师xx 2005 年6月20日

目录 第一部分: 微机硬件结构组成及原理知识---------------------------------------------------- 2第二部分: 微型计算机应用的系统设计------------------------------------------------------- 5 一、要求-------------------------------------------------------------------------------- 5 二、目的--------------------------------------------------------------------- 5 三、内容设计与步骤------------------------------------------------------ 5 四、设计结果与分析------------------------------------------------------ 10 五、设计体会--------------------------------------------------------------- 10 六、感谢--------------------------------------------------------------------- 10

十字路口交通灯控制

十字路口交通灯控制 一、实训目的 1.熟练使用各基本指令,根据控制要求,掌握PLC的编程方法和程序调试方 法,使学生了解用PLC解决一个实际问题的全过程。 2.掌握比较指令的使用方法。 3.根据电气控制要求绘制出时序图。 三、实验控制要求 信号灯受一个启动开关控制,当启动开关接通时,信号灯系统开始工作,且先东西绿灯亮, 南北红灯亮。当启动开关断开时,所有信号灯都熄灭。 东西红灯亮维持25秒。南北绿灯亮维持20秒,然后闪亮3秒后熄灭。同时南北黄灯亮,维持2秒后熄灭,这时南北红灯亮,东西绿灯亮。 南北红灯亮维持25秒。东西绿灯亮维持20秒。到20秒时,东西绿灯闪亮,闪亮3秒后熄灭。在东西绿灯熄灭时,东西黄灯亮,并维持2秒。到2秒时,东西黄灯熄灭,东西红灯亮,同时,南北红灯熄灭,绿灯亮,周而复始。

四、时序图 五、I/O分配表和电路图

六、控制电路 七、操作步骤 1、检查实训设备中器材及调试程序。 2、按照I/O 端口分配表或接线图完成PLC 与实训模块之间的接线,将PLC 的DI 输入端中的1M 、2M 公共端接到公共端的L+端,将PLC 的DO 输出端中的1L 、2L 、3L 公共端接到公共端的L+端,实训挂箱的COM 端接到公共端的M 端。认真检查,确保正确无误。 3、打开示例程序或用户自己编写的控制程序,进行编译,有错误时根据提示信息修改,直至无误,用PC/PPI 通讯编程电缆连接计算机串口与PLC 通讯口,打开PLC 主机电源开关,下载程序至PLC 中,下载完毕后将PLC 的“RUN/STOP ”开关拨至“RUN ”状态。 4、拨动启动开关SD 为ON 状态,观察并记录东西、南北方向主指示灯及各方向人行道指示灯点亮状态; 5、尝试编译新的控制程序,实现不同于示例程序的控制效果。

相关文档