文档库 最新最全的文档下载
当前位置:文档库 › 智力竞赛抢答器设计

智力竞赛抢答器设计

智力竞赛抢答器设计
智力竞赛抢答器设计

项目任务书

题目:智力竞赛抢答装置的设计与调试

系部电子信息工程学院

学科门类工学

专业光电信息工程

2014年12月15日

智力竞赛抢答装置的设计与调试

摘要

随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答

器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。做为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,

再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。

数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。

关键词:抢答电路定时电路报警电路时序控制

目录

一引言 (1)

1.1课题来源及意义 (2)

1.2 研究现状及趋势 (3)

二系统设计 (4)

2.1概述 (5)

(阐述抢答器的系统原理,包括综述,组成框图及各部分介绍)

2.2方案比较 (6)

(总结各个方案的优缺点,比较几种方案)

2.3 方案确定 (7)

(通过上节内容中的几种方案的比较,得出最优方案,并详细介绍)三电路设计与调试 (8)

(包括单元电路设计,参数计算,元器件选型,最终得出总电路图,并阐述调试方法与过程)

四总结与展望 (9)

(总结你的设计方案的优缺点,并提出改进方案)

4.1总结 (10)

4.2展望 (11)

参考文献 (12)

附录 (13)

(附系统总体电路图,用正规软件绘制)

一引言

1.1课题来源及意义

通过这次课程设计,了解简单多功能数字电路抢答器的组成原理,初步掌握数字电路

抢答器的调整及测试方法,提高思考能力和实践能力。同时通过本课题设计,巩固已学的

理论知识,建立逻辑数字电路的理论和实践的结合,了解多功能抢答器各单元电路之间的

关系及相互影响,从而能正确设计、计算定时计数的各个单元电路。初步掌握多功能抢答

器的调整及测试方法。

本论文通过参考大量文献对抢答器的工作原理做了系统介绍,通过详细的调查和权威

技术资料及相关情报的收集,为学校等单位举行的简单的抢答活动提供了简单设计思路,

对于企业了解抢答器产品生产技术及其发展状况十分有益。

1.2研究现状与趋势

随着我国经济和文化事业的发展,在很多公开竞争场合要求有公正的竞争裁决,因此出现了抢答器。抢答器又称为第一信号鉴别器,其主要应用于各种知识竞赛、文艺活动等场合。抢答器一般是由很多电路组成的,线路复杂,可靠性不高,功能也比较简单,特别是当抢答路数很多时,实现起来就更为困难,而且市面上出售的抢答器不仅价格昂贵,在中小城市也极难买到,这无疑给有意举办智力竞赛的单位带来了很大的不便。目前,传统普通抢答器主要存在以下缺陷:

1、在一次抢答过程中,当出现超前违规抢答时,只能处理违规抢答信号,而对没有违规的有效抢答信号不能进行处理,因而使该次抢答过程变为无效。

2、当有多个违规抢答时,普通抢答器或采用优先编码电路选择其中一个,或利用抢答电路电子元件的“竞争”选择其中一个。对于后者由于抢答电路制作完毕后电子元件被固定。各路抢答信号的“竞争”能力也被固定,因而本质上也有优先权。普通抢答器存在不公平性。

3、当有多个违规抢答时,普通抢答器只能“抓住”其中一个而出现“漏洞”。

随着科技的发展,现在的抢答器有着数字化,智能化的方向发展,这就必然提高了抢

答器的成本。鉴于现在小规模的知识竞赛越来越多,操作简单,经济实用的小型抢答器必

将大有市场。因此,我选择简易逻辑数字抢答器这一课题。

二系统设计

2.1概述

2.1.1 基本要求:

1.抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。

2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)

和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。

2.1.2 功能简述:

根据对功能要求的简要分析,将定时抢答器电路分为主题电路和扩展电路两部分。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答器按钮时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答及报警功能。

比赛开始时,接通电源,节目主持人将开关置于“清零”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器上显示设定时间。当节目主持人宣布“抢答开始”,同时将控制开关拨到“开始”位置,抢答器处于工作状态,定时器开始倒计时。若定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。若选手在定时时间内按动抢答按钮时,抢答器要完成以下四项工作:

(1)优先编码器电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;

(2)扬声器发出短暂声响,提醒节目主持人注意;

(3)控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;

(4)控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。当选手将问题回答完毕时,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。

2.2方案比较

针对题目设计要求,经过分析与思考,拟定以下二种方案:

方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。

主体框图如下:

图1 八路智力抢答器方案一设计框图

方案二:主持人按动开始抢答的开关后,最先抢答的选手的电平信号先经过优先编码器,再依次经过数据锁存器,此时已经限制了其他选手的抢答,信号再经过译码器和七段数码显示器,将最先抢答的该选手的编号显示出来,并同时产生报警信号,到此完成的是抢答功能;如果没有人抢答, 30秒减计数器减到00时也会发出报警信号,此是完成计时功能。

主体框图如下:

图2 八路智力抢答器方案二设计框图

2.3 方案确定

相比之下,第二种方案更好些。它的优点表现在以下几个方面:这种方案原理比较简单。主持人对整体电路的控制只需几个门电路就可完成,不必用特别的芯片来组成控制电路;更容易实现报警提示功能,在有选手抢答后或者计时开始和结束时。既减少了布线使整个电路更直观简单,又降低了产生错误的可能性。

三电路设计与调试

1.设计所使用的元件:

元器件:74LS48三片,72LS192两片,74LS279、74LS148、74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开关、电阻、电容若干,导线若干

2.各个单元电路

(1)抢答电路设计

抢答电路的功能有两个:一是能分辨出选手按按钮的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按钮操作无效。因此,选用优先编码器74LS148和RS锁存器74LS279以及译码显示电路完成上述功能。

抢答器电路

工作原理:SW1-8为八位选手的抢答开关,SW9单刀双掷开关设为主持人控制开关。当主持人控制开关置于清零状态时,RS触发器的R端为低电平,输出端全部为低电平。于

是74LS48的BI为高,显示器灭灯;74LS148的选通输入端ST为高电平,74LS148处于工作状态,此时锁存电路不工作。当SW9置于开始状态,优先编码电路和锁存电路同时处于工作状态。74LS279的1R、1S均为高电平,由真值表可知,输出1Q为低电平,从而使74LS148输入使能端为低电平有效,即抢答器处于等待工作状态。若有选手(假设为3号选手)按动抢答开关(即闭合SW4),此时优先编码器74LS148输入端I3接低电平有效,则输出A2A1A0为100,A2A1A0分别接至4S、3S、2S,根据RS锁存器真值表,2Q3Q4Q输出分别为110,从而74LS48的输入端DCBA为0011,经74LS48译码,显示器上显示“3”。与此同时,当74LS148输入端有一个为低电平时,GS为低电平有效,即标志译码器处于工作状态,从而使1S为0,此时1Q输出为高电平,致使EI为高电平,74LS148处于禁止工作状态,其他选手抢答按钮的输入信号不会被接受。这就保证了抢答者优先性以及抢答电路的准确性。抢答结束后,主持人开关置于清零状态,数码管变灰,一切恢复初始状态,以便进入下一轮抢答环节。

(2)定时电路设计

设计要求抢答器具有定时功能,且节目主持人根据抢答题的难易程度,可设定一次抢答的时间(设为30s)。设计中选用十进制同步加/减计数器74LS192进行设计,74LS192是具有置数和清零功能, 其引脚图和逻辑图如图10所示。

图10 74LS192引脚图和逻辑图

P0、P1、P2、P3——置数并行数据输入;

Q0、Q1、Q2、Q3——计数数据输出;

CR————————清零端;

LD————————置数端;

CPu ———————加法计数CP输入;

CPd ———————减法计数CP输入;

CO————————进位输出端;

BO————————借位输出端。

表5 74LS192真值表

根据设计要求,需要两片74LS192构成100进制减计数器。由功能真值表可知,只需将个位74LS192的借位输出端BO与十位74LS192的CPd即可实现100进制减计数。值得

注意的是,要使其实现减计数,CPu端口必须接高电平。

计数器的时钟脉冲由秒脉冲电路提供。秒脉冲电路由555构成的多谐振荡器构成,如图11所示。多谐振荡器无需外加输入信号就能在接通电源自行产生矩形波输出。

图11 多谐振荡器

因为周期为一秒,所以频率是1赫兹。图中电容的充放电时间分别是:

t1=RB×C×ln2≈0.7RB×C t2=(RA+RB)×C×ln2≈0.7(RA+RB)C

所以555的3端输出的频率为: f=1/(t1+t2)≈1.43/[(2RA+RB)C]

我们采用的电阻和电容值分别是:RA=15KΩ,R2=68KΩ,C1=10uf,满足上式,即得到的是秒脉冲。

由以上集成芯片设计的定时电路如图12所示。

图12 定时电路

工作原理:首先主持人根据题的难易程度改变74LS192的输入端D3D2D1D0的电平来

确定抢答时间(假定为30秒),555构成秒脉冲产生电路为计时电路提供脉冲。抢答开始前主持人闭合开关,74LS192的置数端PL为低电平有效,处于置数状态,数码管显示定时时间。抢答开始,主持人打开开关,计数器处于计数状态,555产生的秒脉冲与十位74LS192借位输出端(其初始状态为高电平)相与。计数器递减计数至00,十位74LS192借位输出端为低电平,计数器停止工作,产生报警。计时期间有人抢答,减计数器停止计时,显示器上显示此刻时间。

3)报警电路设计

由555定时器和三极管构成的报警电路如图13所示。图中555定时器用来构成多谐振荡器,其震荡频率和秒脉冲产生电路中频率的计算方法相同。3端的输出信号经过三级管驱动扬声器,发出报警信号。当4端的输入信号是高电平时,振荡器工作,有报警信号,4端输入低电平时,振荡器不工作,没有报警信号。也就是说需要报警时只需控制输入端

即可。

电路图如下:

图13报警电路

(4)时序控制电路

时序控制电路是抢答器设计的关键,需要完成以下三项功能:

a.主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正

常抢答工作状态。

b.当竞赛选手按动抢答键时,扬声器发声,同时抢答电路和定时电路停止工作。

c.当设定的抢答时间到,无人抢答时扬声器发声,同时抢答电路和定时电路停止工作。

本设计中采用门电路对控制开关、抢答电路、定时电路、报警电路进行连接,以实现上述三项功能要求(如图14所示)。

图14

时序控

制电路

中,两

输入与非门采用74LS00,引脚图如图15所示。三输入与门采用74LS11,引脚图如图16所示。电路中利用与非门两输入端相连实现非门的逻辑功能。

图15 74LS00引脚图

图16 74LS11引脚图

工作原理:门G1的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输入使能端。主持人控制开关从“清零”位置拨到“开始”位置时,74LS279的输出1Q=0,

经G3反相,A=1,则从555输出端来的时钟信号CP能够加到74LS192的CPd始终输入端,定时电路进行递减计时。同时,在定时时间未到时,74LS192的借位输出端BO2为低电平,门G2的输出ST为高电平,使74LS148处于正常工作状态,从而实现功能a的要求。当选手在定时时间内按动抢答按钮时,1Q=1,经G3反相,A=0,封锁CP信号,定时器处于保持工作状态;同时,门G2的输出ST为低74LS148处于禁止工作状态,从而实现功能b的要求。当定时时间到时,来自74LS192的BO2为高,ST为高,74LS148处于禁止工作状态,禁止选手进行抢答。同时,门G1处于关门状态,封锁CP信号,使定时电路保持00状态不变,从而实现功能c的要求。

(5)总体电路图

图17 总电路图

下面介绍八路智力竞赛抢答器的使用原理。

首先是各个选手分别对应的按钮编号是S0、S1、S2、S3、S4、S5、S6、S7,抢答后显示器上显示的分别是0、1、2、3、4、5、6、7。

然后是主持人对整个电路系统清零,将开关置于“清零”的位置,输出低电平,分为两路:一路与锁存器的1R2R3R4R端相连,使输出端1Q2Q3Q4Q为低电平,1Q所输出的低电平经与门反馈给74LS148的EI端子,编码器不工作,因此抢答部分显示器灭灯无显示,实现了清零;另一路低电平输出到计数器74LS192的LD端,而CR端也是低电平,所以使得对应显示器输出预置的数据。

接下来主持人根据题目的难易程度设置抢答时间,此设定可以通过调节输入两片74LS192的四个输入端D、C、B、A的高低电平来进行(例如要设定时间为30秒,就将十位

的74192的D、C、B、A分别置位为0、0、1、1,而将各位的74LS192的D、C、B、A都置

于0)。当主持人宣读完题目说“开始”并将开关置于“开始”位置后,输出为高电平,此

高电平有两路方向:一路输出到74LS192的LD端,使其处于高电平而开始减计数;还有一路输出到锁存器的R端。

当任意一个选手抢答时,例如3号抢答时,74LS148三号端子输入低电平有效,此时GS为低电平有效,表征编码器在正常工作。编码输出A2A1A0为100,与其对应的4S3S2S 为100,经74LS279锁存,4Q3Q2Q输出为011,经译码显示编号为3。与此同时,1Q所输出的高电平反馈回编码器的是能输入端,使其停止工作。此时,其他选手若再按动按钮也无对应输出,这就保证了抢答者优先性以及抢答电路的准确性。另一路,74LS148的GS端输出电平由高变低,与秒脉冲发生器产生的秒脉冲相与后输出为0,使得无脉冲抵达计数器74LS192的Down端。计数器停止工作,保持原来显示不变,即实现了暂停减计数使其记录抢答时间的功能。

若没有选手按动按钮,则74LS279输出全为高电平,74LS148也输出高电平,1Q端输出低电平至74LS48的灭灯输入RI/RBO端,使得信号经74LS48到显示器上时无显示;若到定时部分计数器倒计时到00还无选手按动按钮的话,十位74LS192的借位输出端输出

高电平反馈回个位Down端,停止计数。

综上所述,所设计的电路基本可以实现要求中的功能。

四总结与展望

4.1总结

通过本次课程设计,不仅有效巩固了本学期所学数电的相关知识,加强了对重要知识点的记忆和理解,还学会如何运用Protues仿真进行仿真,受益匪浅,现总结如下。

抢答器的设计在本学期数电实验中操练过,因此对其并不陌生。但它的功能要求相对于数电实验中的抢答器要更深一步,不仅添加了定时电路、报警电路,其时序控制电路也要比原先复杂。对于单元电路的设计,均较顺利的完成。而本设计的难点在于时序控制电路的设计,如何在第一位抢答者抢答题目后让编码器停止工作;如何使计时电路在抢答后停止倒计时;如何让定时电路和抢答电路同时清零。设计过程中,根据以往抢答器设计思路,及查阅相关资料,可运用74LS279的输出1Q完成上述控制任务。从这一点,折射出自己在平时的学习中较死板,缺乏变通思考的能力。

在电路仿真的过程中,由于Protues操作相对较简单,因此在仿真过程中较为顺利。只有在总电路图的仿真时,由于粗心大意,误将两个与非门连接成的非门输入输出接反,导致未出现相应仿真结果,经认真排查电路,发现问题所在,更正,最终完成仿真任务,从而验证了电路图的正确性。

4.2展望

通过本次实践操作,也让我深刻明白:只有将课本上的理论知识,结合实践不断练习,不断总结提炼,反复思考实践中的经验教训,才能够真正消化为自己的知识。

参考文献

1.《电子线路设计·实验·测试》第三版,谢自美主编,华中科技大学出版社

2.《新型集成电路的应用——电子技术基础课程设计》梁宗善主编,华中科技大学出版社

3.《电子技术基础课程设计》,孙梅生等编著,高等教育出版社

4.康华光编.电子技术基础数字部分.北京高等教育出版社,第五版

5.李士雄,丁康源编.数字集成电子技术教程.北京高等教育出版社,2003

6.曹汉房,陈耀奎编.数字技术教程.北京电子工业出版社,1995

附录整体电路图

智能抢答器设计

智能抢答器设计 评分: 题目: 智能抢答器设计 学生姓名: 专业: 电气工程及其自动化 班级: 学号: 指导教师: 计算机与电子信息学院 智能抢答器设计 1 【摘要】:针对目前各种竞赛活动中所使用的抢答器的特点与不足,结合单片机应用系统的优点,提出了以单片机为控制核心的智能抢答器控制系统,给出了单片机控制的智能抢答器的硬件控制电路和汇编语言程序设计。 【关键词】: 抢答器单片机控制软硬件键盘扫描电路 第一章: 绪论 抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,广泛应用于各种知识竞赛、文娱活动等场合。以前的抢答器大部分都是基于数字电路组成的,制作过程复杂,而且准确性与可靠性不高,成品面积大,安装、维护困难。 1.1 智能抢答器的发展现状 随着电子技术的发展,现在的抢答器功能越来越强,可靠性和准确性也越来越高。能够实现抢答器功能的方式有多种,可以采用前期的模拟电路、数字电路或模

拟与数字电路相结合的方式,但这种方式制作过程复杂,而且准确性与可靠性不高,成品面积大。 对于目前抢答器的功能描述,如涵盖抢答器、抢答限时、选手答题计时及犯规组号抢答器具有抢答自锁,灯光指示、暂停复位、电子音乐报声、自动定时等功能,还有工作模式的切换和时间设定,对于这些,随着科学技术的发展,肯定还要得到进一步的改进。一般都要趋向于智能化。 1.2 抢答器的背景和特点 在各类竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一名选手先答题,必须要有一个系统来完成这个任务。如果在抢答过程中,只靠人的视觉是很难判断出哪组先答题。利用单片机来设计抢答器,使以上问题得以解决,即使有两组的抢答时间相差几微秒,也可分辨出哪组优先答题。 抢答器是一种应用非常广泛的设备,在各种竞赛、抢答场合中,它能迅速、客观地分辨出最先获得发言权的选手。早期的抢答器只由几个三极管、可控硅、发光管等组成,能通过发光管的指示辨认出选手号码。现在大多数抢答器均使用单片机(如MCS-51型)和数字集成电路,并增加了许多新功能,如选手号码显示、抢按前或抢按后的计时、选手得分显示功能。像这类抢答器,制作过程简单, 2 准确性与可靠性高,而且安装维护简单。 对于抢答器的应用,如早期的数字电路,随着科技的逐步发展,进而到了单片机的控制来实现其功能,而且功能齐全,电路简单,成本低,性能高,真正朝着有利的方向发展。 第二章:抢答器的系统概述 2.1 六路抢答器设计功能要求

多路智力竞赛抢答器

黄冈师范学院 本科生毕业论文 题目: 多路智力竞赛抢答器的设计专业班级:电子信息科学与技术2011级03班学号:201122340321 学生姓名:叶林飞 指导教师:刘珊 论文完成日期: 2015 年 5 月

郑重声明 本人的毕业论文是在指导老师刘珊的指导下独立撰写并完成的。毕业论文没有剽窃、抄袭、造假等违反学术道德、学术规范和侵权行为,如果有此现象发生,本人愿意承担由此产生的各种后果,直至法律责任;并可通过网络接受公众的查询。特此郑重声明。 毕业论文作者(签名): 年月日

目录 1 绪论 (3) 1.1研究目的与意义 (3) 1.2研究内容 (3) 2电路原理设计 (4) 2.1 八路抢答电路设计 (6) 2.2定时电路设计 (9) 2.3声响电路的设计 (14) 3 Multisim仿真与制作 (16) 3.1抢答仿真 (16) 3.2定时抢答仿真 (18) 3.3超时抢答仿真 (19) 3.4仿真结果分析 (20) 结束语 (21) 附录 (22) 附录一:元件清单 (22) 附录二:总电路图 (23) 参考文献 (24) 致谢 (25)

基于数字电路多路智能竞赛抢答器原理与设计专业:电子信息科学与技术班级:电科1103 姓名:叶林飞指导老师:刘珊 摘要 随着社会的不断发展,对人才的要求更加急迫,而在高校同学们学科学、学技术、学知识的手段多种多样,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题进行抢答。如果要是让抢答者用举手等方法,会因为主持人的主观误断造成比赛的不公平性。比赛中为了准确、公正、直观地判断出第一抢答者,这就必然离不开抢答器。作为一种电子产品,在市场上很多,但价格昂贵,还不能根据具体情况修改一些参数,如答题时间设置,选手的增加等问题不好解决。为此根据实际需要设计了简易的数字抢答器,这样不仅节约成本,这样也能满足具体实际需要[1]。 本文介绍了一种同时供八位选手比赛的抢答器,本设计的数字抢答器由优先编码电路、锁存器、译码电路、显示电路、定时电路及用控制电路组成,能实现8位选手抢答,满足了学校同学们知识竞赛的要求。 关键词: 数字电路; 抢答电路;倒计时电路;报警电路;秒脉冲产生电路

智能抢答器的设计与实现

课程设计任务书 学生姓名:王双双专业班级:通信1105 指导教师:李政颖工作单位:武汉理工大学 题目: 智能抢答器的设计与实现 初始条件: 本设计既可以选用集成电路:74LSl48,74LS279,74LS48,74LSl92,NE555,74LS00,74LSl21 和其它器件等,实现八路定时抢答功能;又可以使用单片机系统构建多路数字定时抢答器。要求 用蜂鸣器和光电二极管作声光报警器件,工作电源Vcc为+5V。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)可同时供8名选手(或代表队)参赛,其编号分别是0到7,各用一个抢答按钮,按钮的编号 与选手的编号相对应;给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 2)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并 在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 3)抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当节目主持人启 动“开始”键后,要求定时器立即进行减计时,并用显示器进行显示,同时扬声器发出短暂的声 响,声响持续时间0.5秒左右。 4)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和 抢答时刻的时间,并保持到主持人将系统清零为止。 5)如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统进行短暂的报警,并封锁 输入电路,禁止选手超时后抢答,定时显示器上显示00。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用 A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 5 月18 日至2013 年6 月20 日,方案选择和电路设计。 3、2013 年6 月21 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月2日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

4人智力竞赛抢答器设计

4人智力竞赛抢答器设计 (2009-12-13 15:42:40) 转载 标签: 分类:数字电路 智力竞赛 锁存器 倒计时 选手 计数器 it 课题4人智力竞赛抢答器 一、设计内容及要求 设计一台可供4名选手参加比赛的智力竞赛抢答器,具体要求如下: 1、4名选手编号为;1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 2、给主持人设置一个控制按钮,用来控制系统清零(编号显示、数码管熄灭)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在编号显示器上显示该编号,扬声器给出音响提示,同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,要求定时器开始倒计时,并用定时显示器显示倒计时时间,同时扬声器发出音响,音响持续0.5秒。参赛选手在设定时间(9秒)内抢答有效,此时扬声器发出0.5秒音响,同时定时器停止倒计时,显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。

5、如果定时抢答时间已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 二、系统原理框图 三、工作原理 电路由脉冲产生电路,锁存电路,编码及译码显示电路,倒计时电路和音响产生电路组成。当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经4线7段译码器将数字显示在显示器上,同时产生相应的音响效果。 主持人按开始键时,倒计时电路启动由9计到0,如有选手抢答,倒计时停止。 四、单元电路设计参数计算及元器件选择 1. 编码电路 编码器的作用是把锁存器的输出转化成8421BCD码,送给7段显示译码器。其真值表为:

智力竞赛抢答器设计

智力竞赛抢答器设计

项目任务书题目:智力竞赛抢答装置的设计与调试 系部电子信息工程学院 学科门类工学 专业光电信息工程 2014年12月15日

智力竞赛抢答装置的设计与调试 摘要 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答 器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。做为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏, 再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。 关键词:抢答电路定时电路报警电路时序控制

目录 一引 言 (1) 1.1课题来源及意义 (2) 1.2 研究现状及趋 势 (3) 二系统设计 (4) 2.1概述 (5) (阐述抢答器的系统原理,包括综述,组成框图及各部分介绍) 2.2方案比较 (6) (总结各个方案的优缺点,比较几种方案) 2.3 方案确定 (7) (通过上节内容中的几种方案的比较,得出最优方案,并详细介绍)三电路设计与调试 (8) (包括单元电路设计,参数计算,元器件选型,最终得出总电路图,并阐述调试方法与过程)

四总结与展 望 (9) (总结你的设计方案的优缺点,并提出改进方案) 4.1总结 (10) 4.2展 望 (11) 参考文献 (12) 附录 (13) (附系统总体电路图,用正规软件绘制)

数电智力竞赛抢答器课程设计完全版

电子技术 课程设计 成绩评定表 设计课题:智力竞赛抢答器 学院名称:电气工程学院 专业班级:电气1503 学生:段帅朋 学号: 201523010310 指导教师: 设计地点:31-220 设计时间: 2017.6.26-2017.7.2

电子技术课程设计任务书

目录 1.绪论 (3) 1.1设计目的 (3) 1.2 设计要求 (3) 2.方案设计 (3) 2.1系统工作流程图 (3) 2.2 元器件清单 (4) 2.3主要元器件选择与分析 (5) 2.3.1 轻触开关 (5) 2.3.2 74LS192计数芯片 (5) 2.3.3共阴极数码管以及其驱动芯片74LS48 (6) 2.3.4 74LS175四路D触发器 (7) 2.3.5 555定时器 (8) 2.3.6 集成门电路 (8) 2.3.7 无源蜂鸣器 (8) 3.原理分析 (9) 3.1 抢答必答模式选择及其指示电路 (9) 3.2 抢答电路 (9) 3.3 脉冲产生电路 (10) 3.4单稳态定时电路 (11) 3.5 定时电路 (12) 3.6 音响电路 (13) 3.7整机电路分析 (13) 3.8加减分数电路 (14) 4.设计总结 (15)

1.绪论 1.1设计目的 1、注重培养学生正确的设计思想,掌握课程设计的主要容、步骤和方法。 2、巩固加深对电子技术基础知识的理解,培养学生发现问题、独立分析问题、解决问题,提高综合运用所学知识的能力。 3、通过查找资料、选方案、设计电路、写报告等环节的训练,熟悉设计的过程、步骤。为以后从事电子电路设计、研制电子产品打下基础。 4、了解电子线路设计的工程,学会书写设计说明书。 5、培养学生严肃、认真的科学态度和工作作风。 6、在课余实践,有效地激发学生对电子设计的兴趣,丰富课外生活。 7、培养学生自主学习能力,扩展知识面。 8、提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。 9、另外还要掌握电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。这样才能在分析电路有良好的思路,便于找出错的原因。 1.2 设计要求 用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下: 1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。 2. 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。 3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。 4. 定时及音响。必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。 2.方案设计 2.1系统工作流程图 系统流程图如2.1所示,控制电路是核心组成部分,它控制抢答电路、音响电路、指示灯电路以及定时电路。主要由门电路与门、与非门、或门等实现控制逻辑。主持人和参赛选手都是通过按钮输入控制信号到控制电路,通过控制电路的逻辑实现对各个模块的控制。1K脉冲主要用于触发器时钟,秒脉冲主要用于计时器。

数字逻辑课程设计方案智力竞赛抢答器逻辑电路设计方案

内蒙古师范大学计算机与信息工程学院《数字电路》课程设计报告 设计题目智力竞赛抢答器逻辑电路设计指导教师戚桂美职称讲师 姓名*** 学号2009******* 日期2011/7/12

智力竞赛抢答器逻辑电路设计 计算机与信息工程学院 2009级 2009******* 指导教师戚桂美讲师 摘要设计一个可以容纳4名选手或4个代表队比赛的抢答器。设置一个系统清除和抢答控制开关S,该开关由主持人控制。抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 关键字抢答器电路图 74LS74 1引言 智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们增加些科学知识和生活常识。 在进行智力竞赛抢答时,各参赛者考虑好后都想抢先答题。如果没有合适的设备,有时难以分清它们的先后,是主持人感到为难。为了使比赛能顺利进行,需要有一个能判断抢答先后的设备,来做一个公正的裁判员。称之为智力竞赛抢答器。 2设计任务及主要技术指标和要求 2.1 主要的设计指标和要求 主持人没有宣布抢答开始时,抢答不起作用;在主持人宣布抢答开始后,可以进行抢答。它的任务是从若干名参与者中确定出最先的抢答者,立即将其编号锁存,并在LED数码管上显示选手的编号,同时用声和光提示。此外,封锁输入电路,禁止其他选手抢答,优先抢答选选手的编号一直保存到主持人将系统清零为止。为此我们小组决定就这次机会设计一个低成本但又能满足需要的四路智力竞赛抢答器。

2.2 设计任务和要求 (1) 设计一个可以容纳4名选手或4个代表队比赛的抢答器。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3) 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 3工作原理 接通电源后: 主持人的开关拨到“清除”状态,此时抢答器处于禁止状态,编号显示器处于“0”;主持人将开关设置为“开始”状态,并宣布“开始抢答”,此时抢答器开始工作。 当参加智力竞赛的选手摁下手中的抢答器时,蜂鸣器和LED数码管会用声和光提示,并显示该小组的编号。 只有最先抢答者的编号才能被锁存,并在LED数码管上显示选手的编号,同时用声和光提示。 由以上两个条件可以想到: 用D触发器来实现,D触发器是一个输出跟输入一样的触发器。 将主持人的开关和D触发器的清零端相连。 D触发器是一个在CP脉冲上升沿时反转的触发器。所以只要有一个抢答器输出为1时,就让所有抢答器的脉冲没有上升沿,这样就轻而易举的让其他小组的抢答无效了。 蜂鸣器和LED数码管的提示延续到主持人清零为止,不能在变。 当一轮抢答结束后,主持人将其清零,准备下一轮抢答。

智能抢答器的设计

| 沈阳航空航天大学 课程设计 (说明书) 智能抢答器的设计 ^ 班级 / 学号 /20 … 学生姓名王纪尧

指导教师李智慧

沈阳航空航天大学 课程设计任务书 课程名称电子线路课程设计 课程设计题目智能抢答器的设计 课程设计的内容及要求: 》 一、设计说明与技术指标 用数字电路设计一个具有锁存与显示功能的8人抢答逻辑电路。 接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除” 和“开始”状态开关。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 ! 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 五、按照要求撰写课程设计报告

成绩指导教师日期?

一、概述 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。在我们各种竞赛中我们也经常能看到有抢答的环节,某些举办方采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性,而抢答器的应用就能避免这种弊端。 今天随着科技的不断进步抢答器的制作也更加追求精益求精,人们摆脱了耗费很多元件仅来实现用指示灯和一些电路来实现简单的抢答功能,使第一个抢答的参赛者的编号能通过指示灯显示出来,避免不合理的现象发生。但这种电路不易于扩展,而且当有更高要求时就无法实现,例如参赛人数的增加。随着数字电路的发展,数字抢答器诞生了,它易于扩展,可靠性好,集成度高,而且费用低,功能更加多样,是一种高效能的产品。而如今在市场上销售的抢答器大多采用可编程逻辑元器件,或利用单片机技术进行设计,本次设计主要利用常见的74LS系列集成电路芯片和555芯片,并通过划分功能模块进行各个部分的设计,最后完成了八路智力竞赛抢答器的设计。 二、方案论述 图1 智能抢答器电路的原理框图

智力竞赛抢答器设计报告(DOC)

数字电路课程设计智力竞赛抢答器设计报告

目录 一、设计题目 (1) 二、设计要求与设计说明 (1) 三、课题分析与设计说明 (2) 四、设计思路及原理 (2) 五、单元设计及实现 (3) 1、抢答信号产生电路 (3) 2、编码电路 (3) 3、锁存电路 (4) 4、译码电路 (5) 5、延时电路 (6) 6、振荡电路 (7) 六、总体设计及实现 (9) 七、调试仿真 (10) 八、零件表 (12) 九、设计总结 (13) 十、参考资料 (13)

一、设计题目 智力竞赛抢答器 二、设计要求与设计说明 1、最多可以容纳5名选手或5个代表队参加比赛,他们的编号分别为1、 2、 3、 4、5,各用一个抢答按钮,其编号与参赛者的号码一一对应,此外,还有一个按钮给主持人用来清零,这些按钮(共六个)均采用自制的触摸按钮。 2、抢答器具有数据锁存功能,并将所锁存的数据用LED数码管显示出来。主持人将抢答器清零后,若有参赛者的手指触及抢答器触摸按钮,数码管立即显示出最先动作的选手的编号,同时蜂鸣器发出间歇式声响,声音持续时间约一秒钟。 3、抢答器对参赛选手动作的先后有很强的分辨能力。即使他们动作的先后只相差几毫秒,抢答器也能分辨出来。也就是说,数码管不显示后动作选手的编号,只显示先动作选手的编号并保持到主持人清零为止。 4、在各抢答按钮为常态时,主持人可用清零按钮将数码管变为零态,直至有人使用抢答按钮为止。 5、画出总体电路图并列出元器件清单。

三、课题分析与设计说明 智力竞赛抢答器的抢答部分由抢答信号产生电路、编码电路组成,锁存和显示最先动手选手编号的功能由锁存电路、译码电路组成,延时电路在按钮按下后提供一个约一秒的电平信号,经振荡电路振荡送至蜂鸣器,使蜂鸣器产生约一秒的提示音。主持人清零功能由信号产生电路和锁存电路共同实现。 四、设计思路及原理 模块化电路:方便电路安装和调试。 将电路分为抢答信号产生电路、编码电路、锁存电路、译码电路、延时电路、振荡电路。 抢答信号产生电路是一组自恢复按钮,提供用以编码的电平信号,编码电路实现将按钮编号编码为二进制数,锁存电路实现对编码的二进制数的锁存,以及将二进制数输入译码电路,译码电路实现将二进制数译码并显示在数码管上,延时电路在按钮按下后产生一个约一秒的电平信号,触发振荡电路,振荡电路起振,蜂鸣器产生约一秒的间断提示音。

多路智能竞赛抢答器设计

课程设计任务书 学生:专业班级: 指导教师:工作单位: 题目: 多路智能竞赛抢答器设计 初始条件:74LS48 3片,74LS279 1片,74LS1481片,74LS192 2片,74LS90 2片,LM555 1片,74LS121 1片,74LS00 1片,74LS32 1片,74LS08 1片,电阻若干,电容3个,开关11个,蜂鸣器1个,LED 2个,三极管2N3096 1个,导线若干。 要求完成的主要任务: 1.基本功能 ①设计一个智力竞赛抢答器,可以同时供8名选手或8个代表队参加 比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 ②给节目主持人设置一个控制开关,用来控制系统的清零(编号显示 数码管灭灯)和抢答的开始。 ③抢答器具有数据锁存和显示功能。抢答开始,若有选手按动抢答按 钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外要封存输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到系统清零为止。 2.扩展功能 ①抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定, 档节目主持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示,同时扬声器发出短暂声响,声响持续0.5S左右。

②参赛选手在设定的时间抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 ③如果定时抢答时间已到,去没有选手抢答,则本次抢答无效,系统短暂报警,并封存输入电路,禁止选手超时后抢答,时间显示器上显示00。时间安排: 第19周理论设计、实验室安装调试,地点:鉴主17楼九号实验室 指导教师签名:年月日 系主任(或责任教师)签名:年月日

智力竞赛抢答器

抢答器是竞赛问答中的一种常用的必备装置,从原理上讲,它也是一种典型的数字电路,包括了组合逻辑电路和时序逻辑电路。 一、设计目的 1. 掌握抢答器的设计方法。 2. 熟悉锁存器的应用。 3. 了解学习触摸开关和发声元件。 二、设计指标 (1) 可供四组抢答,有人抢答时,蜂鸣器发声,同时优先抢答者对应的指示灯亮,而后抢答者对应的指示灯不亮。 (2) 主持人具有将抢答器复原的功能。 (3) 抢答者和主持人的按钮开关采用触摸按钮,蜂鸣器用压电陶瓷蜂鸣器作发声元件。 三、设计提示及参考电路 1.抢答器的基本工作原理 智力竞赛抢答器是用来判断哪一个预定状态首先发生的电路,图10-1所示是抢答器的原理框图,主要由开关阵列电路、触发锁存电路、显示电路几部分构成。 开关阵列电路触发 锁存 电路 显示 电路

图10-1 抢答器组成框图 开关阵列电路是由多路开关组成,竞赛者与开关相对应。 触发锁存电路是当某一开关首先按下时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱。 显示电路即按钮开关按下时对应的指示灯亮。 图10-2是用CMOS四D锁存器和门电路组成的四组抢答器。抢答开始前,抢答者的四个按钮S0~S3路均末按下,锁存器CC4042的输入端D0~D3都为0,主持人通过复位按钮S0使时钟端CL为l,因此锁存器清零,四个发光二极管均不亮:同时G1门输出为0,蜂鸣器不发声。 图10-2 四路抢答器原理图 当有人抢答时,例如按钮S1被按下,锁存器的D1输入端为1,对应的输出端Ql由0变为1,经CC4049反相后驱动对应的发光二极管发光;同时Q1’由1变为0,使G1门输出为1,蜂鸣器因此而发声,表示S1对应的选手优先抢答成功。G1门输出的高电平经过G2门后使CC4042的时钟端CL由1变0(此时主持人的按钮S4已经断开),从而使其由接收状态转为锁存状态,禁止后抢答者的信号存入锁存器,因此即使其他选手再按下所对应的按钮也不起作用。 2.触摸按钮 图10-3是两种自制的触摸按钮的原理图。触摸开关是两块距离约为1mm彼

八路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目: 八路智力竞赛抢答器设计 姓名: 专业: 电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

八路智能竞赛抢答器设计课程设计

八路智能竞赛抢答器设计课程设计

八路智能竞赛抢答器设计 课程设计任务书 学生姓名:专业班级:通信0706班 指导教师:工作单位:信息工程学院 题目: 八路智能竞赛抢答器设计 初始条件: 要求完成的主要任务: 1、抢答器电路组成及工作原理 2、定时器电路组成及工作原理 3、报警及时序控制电路组成及工作原理 4、仿真结果分析 时间安排: 第20周,安排任务(鉴3-302,1.14星期一上午1~4节) 第20-21周,绘图仿真设计(鉴主13楼计算机实验室1) 第21周,完成(答辩,提交报告,演示) 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1. 抢答器的功能要求 (2) 1.1基本功能 (2) 1.2扩展功能 (2) 2. 方案论证与比较 (2) 2.1方案一:采用数字电路 (3) 2.2方案二:采用单片机 (3) 2.3方案三:采用PLC (4) 2.4方案比较 (4) 3.总体设计原理与各部分单元电路的设计 (5) 3.1数字抢答器总体具体方框图 (5) 3.2各部分单元电路的设计 (6) 3.3完整电路图设计 (9) 4电路调试 (9) 4.1抢答器电路 (9) 4.3秒脉冲发生电路 (11) 4.4时序控制电路 (12) 4.4报警电路 (13) 4.5整体电路调试 (14) 5仿真结果分析 (15) 5.1抢答电路仿真 (15)

5.3总体电路仿真 (16) 6心得与体会 (18) 7参考资料 (19) 8附录:电路中的元件介绍 (20) 8.1 优先编码器74LS148 (20) 8.2译码器74LS48 (21) 8.3同步十进制可逆计数器74LS192 (23) 8.4 555芯片 (25)

数电课程设计八路智力竞赛抢答器设计

数电课程设计八路智力竞赛抢答器设 计

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中 科技大学出版社 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、 74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开 关、电阻、电容若干,面包板,导线若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢 答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、 S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编 号,同时扬声器给出音响提示。另外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零 为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计 时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续 时间0.5s左右。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系 统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显 示器上显示00. 报告要求: 课程设计的内容要求用A4纸打印,且页数不得少于20页。 时间安排:

智能抢答器PLC课程设计 (1)

目录 第一章概述 (1) 1.1 智能抢答器的简要介绍 (1) 1.2 抢答器的控制要求 (1) 1.3 抢答器的控制特点分析 (2) 第二章硬件电路设计 (2) 2.1 PLC机型的选择步骤与原则 (2) 2.2 LED显示器的设计 (4) 2.3 PLC与七段数码管直接连接阻值计算 (4) 2.4 IO分配表 (5) 第三章程序设计 (5) 3.1 梯形图设计 (5) 3.2 程序运行过程分析说明 (8) 第四章组态简介 (9) 4.1组态王的简单介绍 (9) 4.2关于组态的设计 (10) 4.3组态王的运行结果 (12) 总结 (13) 文献参考 (14)

皖西学院2013届本科课程设计报告 第一章概述 1.1 智能抢答器的简要介绍 抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,广泛应用于各种知识竞赛、文娱活动等场合。在各类竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一名选手先答题,必须要有一个系统来完成这个任务。如果在抢答过程中,只靠人的视觉是很难判断出哪组先答题。利用PLC来设计抢答器,使以上问题得以解决,即使有两组的抢答时间相差几微秒,也可分辨出哪组优先答题;而且其控制方便、灵活,只要改变输入PLC的控制程序,便可以改变竞赛抢答器的抢答的方案。 1.2 抢答器的控制要求 (1)竞赛抢答器能使4个队同时参加竞赛抢答。 (2)设裁判队为裁判台,参赛队为参赛台。裁判台设有音响和裁判灯,并设有开始按钮SB0和复位按钮SB5;参赛台设有按钮。1-4号参赛台分别对应按钮SB1-SB4。 (3)智能抢答器能适合以下比赛规则:出题后,各队抢答必须在裁判说出“开始”并按下开始按钮SB0后30s内抢答,并由数码管显示时间。如提前抢答,抢答器将发出“违规”信号。30s时间到,如无队抢答,则抢答器给出时间已到信号,该题作废。在有队抢答的情况下,抢答器发出“抢答”信号,数码管开始计时显示,并由数码管显示出抢到题的参赛队号。抢到题的队必须在30s内答完题,如30s内还没答完,则作超时处理。赛场还设有时间数码显示器和显示抢到答题队号的数码显示器。 (4)在某个题目结束后,裁判员按下裁判台上的复位按钮SB5,抢答器恢复原来的状态,为下一轮抢答做好准备。 表1-1各硬件与座位的对应关系

路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目:八路智力竞赛抢答器设计 姓名: 专业:电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

四人智力竞赛抢答器课程设计报告

一、设计题目 四人电子抢答器 二、设计功能 1.基本功能 (1)抢答器同时供4名选手比赛,分别用4个按钮key5~key8表示。 (2)设置一个系统重置和抢答控制开关start(key1),该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在数码管上显示选手号码。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 2.扩展功能 (1)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(30秒)。当主持人启动"开始"键后,定时器进行减计时。 (2)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 (3)在设定的抢答时间内如果定时时间已到,无人抢答,本次抢答无效, 3.自主功能 (1)抢答器具有自动计时功能,当有选手抢答答题时间(10秒)自动开始。 (2)报警电路设计:当答题时间和抢答时间将到或结束(还剩3秒时)发出蜂鸣声结束答题。 (3)每个选手有一个记分板,答对题目可以由主持人控制start键给予加分。每加一分响一次。 (4)长按start可以自动准备计时和系统清零,短按开始计时和暂停。 三、原理电路设计:

1、方案 抢答电路:使用74175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74148作为编码器,对输入的型号进行编码。输出在共阳数码管显示主持人电路: (1)利用2个74168计数器作为倒计时的芯片,当主持人按下抢答按钮时,2个74168被置29,同时将开始倒计时。假如在30秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 (2)利用4个74160分别控制4位选手的得分,分别显示在4个共阳数码管。每得1分响一下 (3)start键输出后分为两路,一路是进入key模块,一路控制30秒倒计时。其中为了区分重置计时电路和暂停计时电路。根据线路的特点,一个接到T 触发器进行短按控制,一个只接到除颤电路通过100hz的控制进行长按控制。 显示电路和分频电路上课老师讲的很具体明了就不一一详叙。 2、单元电路设计 (1)、锁存器电路的设计 锁存器电路采用以74175为中心的锁存器系统,当4个抢答输入端中出现低电平输入时信号时,锁存器立即锁存,禁止抢答,其原本为4个高电平的输出端也变成3高一低,可以利用一个4输入与非门将其与非,再接一个非门后,可以与74175的时钟信号相与非,使得CLK端的输入信号为底电平,从而阻止其余选手的抢答,从而达到锁存的目的74175的真值表如下: 锁存器的单元电路设计如下:

相关文档
相关文档 最新文档